ALBERT

All Library Books, journals and Electronic Records Telegrafenberg

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
Filter
  • Synthetic Biology and Assembly Cloning  (70)
  • Pathogens & Pathogenicity  (51)
  • Oxford University Press  (121)
  • 1
    Publication Date: 2017-01-13
    Description: As a leading pathogen, Edwardsiella piscicida can cause hemorrhagic septicemia in fish and gastro-intestinal infections in humans. The two-component regulatory system EsrA-EsrB plays essential roles in pathogenesis through the type III and type VI secretion systems, and hemolysin production in E. piscicida . It is unclear whether other virulence- or stress response-associated genes are regulated by EsrA-EsrB. In this study, the proteomes of wild-type E. piscicida EIB202 and esrB mutant strains were compared to reveal EsrB regulon components after growth in Luria–Bertani broth (LB). Overall, the expression levels of nine genes exhibited significant changes, and five of them required the presence of EsrB, while others exhibited higher levels in the esrB mutant. The diverse functions of these proteins were identified, including amino acid metabolism, oxidative stress defense and energy production. Interestingly, superoxidase dismutase and thiol peroxidase were the most significantly down-regulated by EsrB. Furthermore, other reported reactive oxygen species (ROS) resistance-related genes were also down-regulated by EsrB as revealed by quantitative real-time. Compared with the wild-type and the complement strain esrB + , esrB displayed a significantly enhanced ROS resistance. These results demonstrated that EsrB plays important roles in the ROS resistance pathway in E. piscicida grown in LB conditions.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 2
    Publication Date: 2017-01-13
    Description: The sepsis caused by Vibrio vulnificus is characterized by an average incubation period of 26 h and a high mortality rate exceeding 50%. The fast growth and dissemination of V. vulnificus in vivo lead to poor clinical outcomes in patients. Therefore, elucidation of the proliferation mechanisms of this organism in vivo may lead to the development of an effective therapeutic strategy. In this study, we focused on the low oxygen concentration in the intestinal milieu because of its drastic difference from that in air. Fumarate and nitrate reduction regulatory protein (FNR) is known to be a global transcriptional regulator for adaptation to anaerobic conditions in various bacteria. We generated a strain of V. vulnificus in which the fnr gene was replaced with an erythromycin resistance gene ( fnr :: erm mutant). When the fnr :: erm mutant was tested in a growth competition assay against the wild-type (WT) in vivo , the competitive index of fnr :: erm mutant to WT in the intestinal loop and liver was 0.378 ± 0.192 (mean ± SD) and 0.243 ± 0.123, respectively. These data suggested that FNR is important for the proliferation of V. vulnificus in the intestine to achieve a critical mass to be able to invade the systemic circulation.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 3
    Publication Date: 2017-01-08
    Description: Helicobacter pylori is an important cause of gastric pathologies and persistent infection can lead to stomach cancer. Virulent H. pylori strains encode a type IV secretion system responsible for translocation of the oncogenic CagA protein into cells of the gastric mucosa. Gene HP0522 encodes the essential component Cag (Cag3), and we show by gel filtration and cross-linking that purified Cag forms high molecular mass complexes. In contrast, its interaction partner CagT is mostly monomeric, but co-fractionates after gel filtration. Analysis by transmission electron microscopy revealed that purified Cag complexes can self-assemble ring-like structures. Cag-overexpressing Escherichia coli exhibits membrane-associated circular profiles in regions of the cell envelope with intense immunogold labelling with a Cag-specific antiserum. Our results suggest that Cag has the capacity to form macromolecular structures contributing to the assembly of the type IV secretion system.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 4
    Publication Date: 2017-01-10
    Description: Despite the extensive use of  Saccharomyces cerevisiae  as a platform for synthetic biology, strain engineering remains slow and laborious. Here, we employ CRISPR/Cas9 technology to build a cloning-free toolkit that addresses commonly encountered obstacles in metabolic engineering, including chromosomal integration locus and promoter selection, as well as protein localization and solubility. The toolkit includes 23 Cas9-sgRNA plasmids, 37 promoters of various strengths and temporal expression profiles, and 10 protein-localization, degradation and solubility tags. We facilitated the use of these parts via a web-based tool, that automates the generation of DNA fragments for integration. Our system builds upon existing gene editing methods in the thoroughness with which the parts are standardized and characterized, the types and number of parts available and the ease with which our methodology can be used to perform genetic edits in yeast. We demonstrated the applicability of this toolkit by optimizing the expression of a challenging but industrially important enzyme, taxadiene synthase (TXS). This approach enabled us to diagnose an issue with TXS solubility, the resolution of which yielded a 25-fold improvement in taxadiene production.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 5
    Publication Date: 2016-07-15
    Description: Invertebrate animal species that can withstand temperatures as high as 37°C, the human body temperature, are limited. In the present study, we utilized the two-spotted cricket, Gryllus bimaculatus , which lives in tropical and subtropical regions, as an animal model of human pathogenic bacterial infection. Injection of Pseudomonas aeruginosa or Staphylococcus aureus into the hemolymph killed crickets. Injected P. aeruginosa or S. aureus proliferated in the hemolymph until the cricket died. The ability of these pathogenic bacteria to kill the crickets was blocked by the administration of antibiotics. S. aureus gene-knockout mutants of virulence factors, including cvfA, agr and srtA , exhibited decreased killing ability compared with the parent strain. The dose at which 50% of crickets were killed by P. aeruginosa or S. aureus was not decreased at 37°C compared with that at 27°C. Injection of Listeria monocytogenes , which upregulates toxin expression at 37°C, killed crickets, and the dose at which 50% of crickets were killed was decreased at 37°C compared with that at 27°C. These findings suggest that the two-spotted cricket is a useful model animal for evaluating the virulence properties of various human pathogenic bacteria at variable temperature including 37°C.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 6
    Publication Date: 2016-07-31
    Description: The OmpA-like protein domain has been associated with peptidoglycan-binding proteins, and is often found in virulence factors of bacterial pathogens. The intracellular pathogen Legionella pneumophila encodes for six proteins that contain the OmpA-like domain, among them the highly conserved uncharacterized protein we named CmpA. Here we set out to characterize the CmpA protein and determine its contribution to intracellular survival of L. pneumophila . Secondary structure analysis suggests that CmpA is an inner membrane protein with a peptidoglycan-binding domain at the C-teminus. A cmpA mutant was able to replicate normally in broth, but failed to compete with an isogenic wild-type strain in an intracellular growth competition assay. The cmpA mutant also displayed significant intracellular growth defects in both the protozoan host Acanthamoeba castellanii and in primary bone marrow-derived macrophages, where uptake into the cells was also impaired. The cmpA phenotypes were completely restored upon expression of CmpA in trans . The data presented here establish CmpA as a novel virulence factor of L. pneumophila that is required for efficient intracellular replication in both mammalian and protozoan hosts.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 7
    Publication Date: 2016-08-05
    Description: Shiga toxin-encoding Escherichia coli (STEC) regroup strains that carry genes encoding Shiga toxin (Stx). Among intestinal pathogenic E. coli , enterohaemorrhagic E. coli (EHEC) constitute the major subgroup of virulent STEC. EHEC cause serious human disease such as haemorrhagic colitis and haemolytic-uremic syndrome. While EHEC have evolved from enteropathogenic E. coli , hybrids with enteroaggregative E. coli have recently emerged. Of note, some enteroinvasive E. coli also belong to the STEC group. While the LEE (locus of enterocyte effacement) is a key and prominent molecular determinant in the pathogenicity, neither all EHEC nor STEC contain the LEE, suggesting that they possess additional virulence and colonisation factors. Currently, nine protein secretion systems have been described in diderm-lipopolysaccharide bacteria (archetypal Gram-negative) and can be involved in the secretion of extracellular effectors, cell-surface proteins or assembly of cell-surface organelles, such as flagella or pili. In this review, we focus on the secretome of STEC and related enteropathotypes, which are relevant to the colonisation of biotic and abiotic surfaces. Considering the wealth of potential protein trafficking mechanisms, the different combinations of colonisation factors and modulation of their expression is further emphasised with regard to the ecophysiology of STEC.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 8
    Publication Date: 2016-07-28
    Description: Genetic engineering projects often require control over when a protein is degraded. To this end, we use a fusion between a degron and an inactivating peptide that can be added to the N-terminus of a protein. When the corresponding protease is expressed, it cleaves the peptide and the protein is degraded. Three protease:cleavage site pairs from Potyvirus are shown to be orthogonal and active in exposing degrons, releasing inhibitory domains and cleaving polyproteins. This toolbox is applied to the design of genetic circuits as a means to control regulator activity and degradation. First, we demonstrate that a gate can be constructed by constitutively expressing an inactivated repressor and having an input promoter drive the expression of the protease. It is also shown that the proteolytic release of an inhibitory domain can improve the dynamic range of a transcriptional gate (200-fold repression). Next, we design polyproteins containing multiple repressors and show that their cleavage can be used to control multiple outputs. Finally, we demonstrate that the dynamic range of an output can be improved (8-fold to 190-fold) with the addition of a protease-cleaved degron. Thus, controllable proteolysis offers a powerful tool for modulating and expanding the function of synthetic gene circuits.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 9
    Publication Date: 2016-06-23
    Description: The activity of levofloxacin against planktonic and biofilm Stenotrophomonas maltophilia cells and the role played by the multidrug efflux pump SmeDEF were evaluated under conditions relevant to the cystic fibrosis (CF) lung. MIC, MBC and MBEC of levofloxacin were assessed, against five CF strains, under ‘standard’ (CLSI-recommended) and ‘CF-like’ (pH 6.8, 5% CO 2 , in a synthetic CF sputum) conditions. Levofloxacin was tested against biofilms at concentrations (10, 50 and 100 μg mL –1 ) corresponding to achievable serum levels and sputum levels by aerosolisation. smeD expression was evaluated, under both conditions, in planktonic and biofilm cells by RT-PCR. The bactericidal effect of levofloxacin was decreased, in three out of five strains tested, under ‘CF-like’ conditions (MBC: 2–4 vs 8–16 μg mL –1 , under ‘standard’ and ‘CF-like’ conditions, respectively). Biofilm was intrinsically resistant to levofloxacin, regardless of conditions tested (MBECs ≥ 100 μg mL –1 for all strains). Only under ‘CF-like’ conditions, smeD expression increased during planktonic-to-biofilm transition, and in biofilm cells compared to stationary planktonic cells. Our findings confirmed that S. maltophilia biofilm is intrinsically resistant to therapeutic concentrations of levofloxacin. Under conditions relevant to CF, smeD overexpression could contribute to levofloxacin resistance. Further studies are warranted to define the clinical relevance of our findings .
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 10
    Publication Date: 2016-06-23
    Description: Auranofin is an FDA-approved gold-containing compound used for the treatment of rheumatoid arthritis. Recent reports of antimicrobial activity against protozoa and bacteria indicate that auranofin targets the reductive enzyme thioredoxin reductase (TrxR). We evaluated auranofin as well as five auranofin analogs containing N- heterocyclic carbenes (instead of the triethylphosphane present in auranofin) and five gold-carbene controls for their ability to inhibit or kill Helicobacter pylori in vitro . Auranofin completely inhibited bacterial growth at 1.2 μM. Purified H. pylori TrxR was inhibited by auranofin in a cell-free assay (IC 50 ~88 nM). The most active gold(I)- N- heterocyclic carbene compounds exhibited MICs comparable to auranofin against H. pylori (2 μM), while also exhibiting lower toxicities for human embryonic kidney cells (HEK-293T cells). Median toxic concentrations (TC 50 ) were 13–20-fold higher compared to auranofin indicating that they were less cytotoxic. The N- heterocyclic carbene analogs maybe well tolerated, but further evaluation is needed in vivo . Finally, auranofin was synergistic with the antibiotic amoxicillin, suggesting that targeting both the reductive enzyme TrxR and cell wall synthesis may be effective against H. pylori infections.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 11
    Publication Date: 2016-05-08
    Description: Legionella feeleii is a Gram-negative pathogenic bacterium that causes Pontiac fever and pneumonia in humans. When L. feeleii serogroup 1 (ATCC 35072) was cultured on BCYE agar plates, two types of colonies were observed and exhibited differences in color, opacity and morphology. Since the two colony types are white rugose and brown translucent, they were termed as white rugose L. feeleii (WRLf) and brown translucent L. feeleii (BTLf), respectively. They exhibited different growth capacities in BYE broth in vitro , and it was found that WRLf could transform to BTLf. Under the electron microscope, it was observed that WRLf secreted materials which could be stained with ruthenium red, which was absent in BTLf. When U937 macrophages and HeLa cells were infected with the bacteria, WRLf manifested stronger internalization ability than BTLf. Intracellular growth in murine macrophages and Acanthamoeba cells was affected by the level of initial phagocytosis. WRLf was more resistant to human serum bactericidal action than BTLf. After being inoculated to guinea pigs, both organisms caused fever in the animals. These results suggest that ruthenium red-stained materials secreted in the surroundings may play a crucial role in determining L. feeleii colony morphology and virulence traits.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 12
    Publication Date: 2016-05-12
    Description: Piscirickettsia salmonis is a fastidious intracellular pathogen responsible for high mortality rates in farmed salmonids, with serious economic consequences for the Chilean aquaculture industry. Oxytetracycline and florfenicol are the most frequently used antibiotics against P. salmonis , but routine use could contribute to drug resistance. This study identified differentiated florfenicol susceptibilities in two P. salmonis strains, LF-89 and AUSTRAL-005. The less susceptible isolate, AUSTRAL-005, also showed a high ethidium bromide efflux rate, indicating a higher activity of general efflux pump genes than LF-89. The P. salmonis genome presented resistance nodulation division (RND) family members, a family containing typical multidrug resistance-related efflux pumps in Gram-negative bacteria. Additionally, efflux pump acrAB genes were overexpressed in AUSTRAL-005 following exposure to the tolerated maximal concentration of florfenicol, in contrast to LF-89. These results indicate that tolerated maximum concentrations of florfenicol can modulate RND gene expression and increase efflux pump activity. We propose that the acrAB efflux pump is essential for P. salmonis survival at critical florfenicol concentrations and for the generation of antibiotic-resistant bacterial strains.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 13
    Publication Date: 2016-05-12
    Description: Pseudomonas aeruginosa is an opportunistic pathogen, known to develop robust biofilms. Its biofilm development increases when antibiotics are presented at subminimal inhibitory concentrations (MICs) for reasons that remain unclear. In order to identify genes that affect biofilm development under such a sublethal antibiotic stress condition, we screened a transposon (Tn) mutant library of PAO1, a prototype P. aeruginosa strain. Among ~5000 mutants, a fiuA gene mutant was verified to form very defective biofilms in the presence of sub-MIC carbenicillin. The fiuA gene encodes ferrichrome receptor A, involved in the iron acquisition process. Of note, biofilm formation was not decreased in the pchpvd mutant defective in the production of pyochelin and pyoverdine, two well-characterized P. aeruginosa siderophore molecules. Moreover, fiuA , a non-polar fiuA deletion mutant, produced a significantly decreased level of elastase, a major virulence determinant. Mouse airway infection experiments revealed that the mutant expressed significantly less pathogenicity. Our results suggest that the fiuA gene has pleiotropic functions that affect P. aeruginosa biofilm development and virulence. The targeting of FiuA could enable the attenuation of P. aeruginosa virulence and may be suitable for the development of a drug that specifically controls the virulence of this important pathogen.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 14
    Publication Date: 2016-01-09
    Description: Proteins adhere to DNA at locations and with strengths that depend on the protein conformation, the underlying DNA sequence and the ionic content of the solution. A facile technique to probe the positions and strengths of protein-DNA binding would aid in understanding these important interactions. Here, we describe a ‘DNA pulley’ for position-resolved nano-mechanical measurements of protein-DNA interactions. A molecule of DNA is tethered by one end to a glass surface, and by the other end to a magnetic bead. The DNA is stretched horizontally by a magnet, and a nanoscale knife made of silicon nitride is manipulated to contact, bend and scan along the DNA. The mechanical profile of the DNA at the contact with the knife is probed via nanometer-precision optical tracking of the magnetic bead. This system enables detection of protein bumps on the DNA and localization of their binding sites. We study theoretically the technical requirements to detect mechanical heterogeneities in the DNA itself.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 15
    Publication Date: 2016-01-09
    Description: Synthetic biology seeks to envision living cells as a matter of engineering. However, increasing evidence suggests that the genetic load imposed by the incorporation of synthetic devices in a living organism introduces a sort of unpredictability in the design process. As a result, individual part characterization is not enough to predict the behavior of designed circuits and thus, a costly trial-error process is eventually required. In this work, we provide a new theoretical framework for the predictive treatment of the genetic load. We mathematically and experimentally demonstrate that dependences among genes follow a quantitatively predictable behavior. Our theory predicts the observed reduction of the expression of a given synthetic gene when an extra genetic load is introduced in the circuit. The theory also explains that such dependence qualitatively differs when the extra load is added either by transcriptional or translational modifications. We finally show that the limitation of the cellular resources for gene expression leads to a mathematical formulation that converges to an expression analogous to the Ohm's law for electric circuits. Similitudes and divergences with this law are outlined. Our work provides a suitable framework with predictive character for the design process of complex genetic devices in synthetic biology.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 16
    Publication Date: 2016-04-08
    Description: Activating transcription factor 3 (ATF3) is a stress-induced transcriptional regulator in eukaryote. The role of ATF3 in cancer has been well defined, but how ATF3 functions in bacterial infection is not well understood. Pneumococcal infection has been shown to induce ATF3 expression, which subsequently enhances cytokine production and provides protection from lethal Streptococcus pneumoniae infection, but the role of ATF3 in other Gram-positive (G + ) infections remains unclear. Here, we report that infection with other G + bacteria ( Staphylococcus aureus and Listeria monocytogenes ) and with G – bacteria (uropathogenic Escherichia coli ) also significantly induced ATF3 expression. Moreover, the production of cytokines (tumor necrosis factor alpha [TNF]-α, interleukin [IL]-1β, IL-6 and interferon [IFN]-) was enhanced by ATF3 in S. aureus and L. monocytogenes infection, but decreased in uropathogenic E. coli (UPEC) infection. In addition, in S. aureus and L. monocytogenes infections, ATF3 WT mice cleared bacteria more efficiently and had higher survival rates than ATF3 knockout mice. However, in UPEC infection, no significant difference was found in survival rate. Taken together, these data suggest that ATF3 provides protection from S. aureus and L. monocytogenes infections; however, the role of ATF3 in UPEC infection is more complicated and should be further elucidated.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 17
    Publication Date: 2016-08-20
    Description: Light-regulated modules offer unprecedented new ways to control cellular behavior in precise spatial and temporal resolution. The availability of such tools may dramatically accelerate the progression of synthetic biology applications. Nonetheless, current optogenetic toolbox of prokaryotes has potential issues such as lack of rapid and switchable control, less portable, low dynamic expression and limited parts. To address these shortcomings, we have engineered a novel bidirectional promoter system for Escherichia coli that can be induced or repressed rapidly and reversibly using the blue light dependent DNA-binding protein EL222. We demonstrated that by modulating the dosage of light pulses or intensity we could control the level of gene expression precisely. We show that both light-inducible and repressible system can function in parallel with high spatial precision in a single cell and can be switched stably between ON- and OFF-states by repetitive pulses of blue light. In addition, the light-inducible and repressible expression kinetics were quantitatively analysed using a mathematical model. We further apply the system, for the first time, to optogenetically synchronize two receiver cells performing different logic behaviors over time using blue light as a molecular clock signal. Overall, our modular approach layers a transformative platform for next-generation light-controllable synthetic biology systems in prokaryotes.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 18
    Publication Date: 2016-07-02
    Description: The presence of carbapenemase gene bla KPC-2 in a wide variety of plasmids, especially conjugative plasmids, is key to the rapid, worldwide spread of carbapenemase enzymes. Thirty-eight, non-duplicated, carbapenem-resistant, clinical Klebsiella pneumoniae isolates were collected, all carrying bla KPC-2 -bearing plasmids. Relaxase analysis was used to classify these plasmids; 8 and 30 plasmids belonged to the MOB P3 and MOB F12 subfamilies, respectively. Phylogenetic analysis revealed two genetic subclades in the MOB F12 subfamily and suggested that these subclades might not have originated from the same ancestor. Crossing PCR, used to sequence fully the type IV secretion system (T4SS, essential structures for conjugative plasmids) of the MOB F12 plasmids, found that T4SSs were distinctively different in certain functional genes, e.g. traS and traG. In conclusion, this study delineated the evolution of bla KPC-2 -bearing plasmids at Huashan Hospital, Shanghai, China. The plasmids bearing bla KPC-2 were diverse and the MOB F12 plasmids were dominant in clinical K. pneumoniae isolates.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 19
    Publication Date: 2016-08-20
    Description: Current DNA assembly methods for preparing highly purified linear subassemblies require complex and time-consuming in vitro manipulations that hinder their ability to construct megabase-sized DNAs (e.g. synthetic genomes). We have developed a new method designated ‘CasHRA ( Cas 9-facilitated H omologous R ecombination A ssembly)’ that directly uses large circular DNAs in a one-step in vivo assembly process. The large circular DNAs are co-introduced into Saccharomyces cerevisiae by protoplast fusion, and they are cleaved by RNA-guided Cas9 nuclease to release the linear DNA segments for subsequent assembly by the endogenous homologous recombination system. The CasHRA method allows efficient assembly of multiple large DNA segments in vivo ; thus, this approach should be useful in the last stage of genome construction. As a proof of concept, we combined CasHRA with an upstream assembly method (Gibson procedure of genome assembly) and successfully constructed a 1.03 Mb MGE-syn1.0 ( M inimal G enome of Escherichia coli ) that contained 449 essential genes and 267 important growth genes. We expect that CasHRA will be widely used in megabase-sized genome constructions.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 20
    Publication Date: 2016-08-28
    Description: Enteroaggregative Escherichia coli (EAEC) is an important diarrhoeal pathogen causing diseases in multiple epidemiological and clinical settings. In developing countries like India, diarrhoeal diseases are one of the major killers among paediatric population and oddly, few studies are available from Indian paediatric population on the variability of EAEC virulence genes. In this study, we examined the distribution of plasmid and chromosomal-encoded virulence determinants in EAEC isolates, and analysed cytokines response generated against EAEC with specific aggregative adherence fimbriae (AAF) type in duodenal biopsies using in vitro organ culture (IVOC) mimicking in vivo conditions. Different virulence marker combinations among strains were reflected as a function of specific adhesins signifying EAEC heterogeneity. fis gene emerged as an important genetic marker apart from aggA and aap . Further, EAEC infection in IVOC showed upregulation of IL-8, IL-1β, IL-6, TNF-α and TLR-5 expression. EAEC with AAFII induced significant TLR-5 and IL-8 response, conceivably owing to more pathogenicity markers. This study sheds light on the pattern of EAEC pathotypes prevalent in North Indian paediatric population and highlights the presence of unique virulence combinations in pathogenic strains. Thus, evident diversity in EAEC virulence and multifaceted bacteria-host crosstalk can provide useful insights for the strategic management of diarrhoeal diseases in India, where diarrhoeal outbreaks are more frequent.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 21
    Publication Date: 2016-06-03
    Description: We have investigated transcriptional interference between convergent genes in E. coli and demonstrate substantial interference for inter-promoter distances of as far as 3 kb. Interference can be elicited by both strong 70 dependent and T7 promoters. In the presented design, a strong promoter driving gene expression of a ‘forward’ gene interferes with the expression of a ‘reverse’ gene by a weak promoter. This arrangement allows inversely correlated gene expression without requiring further regulatory components. Thus, modulation of the activity of the strong promoter alters expression of both the forward and the reverse gene. We used this design to develop a dual selection system for conditional operator site binding, allowing positive selection both for binding and for non-binding to DNA. This study demonstrates the utility of this novel system using the Lac repressor as a model protein for conditional DNA binding, and spectinomycin and chloramphenicol resistance genes as positive selection markers in liquid culture. Randomized LacI libraries were created and subjected to subsequent dual selection, but mispairing IPTG and selection cues in respect to the wild-type LacI response, allowing the isolation of a LacI variant with a reversed IPTG response within three rounds of library generation and dual selection.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 22
    Publication Date: 2016-06-17
    Description: Coagulase-negative staphylococci are thought to act as reservoirs of antibiotic resistance genes that can be transferred to Staphylococcus aureus , thus hindering the combat of this bacterium. In this work, we analyzed the presence of plasmids conferring resistance to the antibiotic mupirocin—widely used to treat and prevent S. aureus infections in hospital environments—in nosocomial S. haemolyticus strains. About 12% of the 75 strains tested were resistant to mupirocin, and this phenotype was correlated with the presence of plasmids. These plasmids were shown to be diverse, being either conjugative or mobilizable, and capable of transferring mupirocin resistance to S. aureus . Our findings reinforce that S. haemolyticus , historically and mistakenly considered as a less important pathogen, is a reservoir of resistance genes which can be transferred to other bacteria, such as S. aureus , emphasizing the necessity of more effective strategies to detect and combat this emergent opportunistic pathogen.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 23
    Publication Date: 2016-06-17
    Description: Emergence of races in Fusarium oxysporum f. sp. lycopersici ( Fol ) is caused by loss or mutation of at least one avirulence ( AVR ) gene. The product of AVR1 is a small protein (Avr1) secreted by Fol in tomato xylem sap during infection. This protein triggers Fol race 1 specific resistance (I) in tomato, indicating that AVR1 is an AVR gene. Deletion of AVR1 in race 1 resulted in the emergence of race 2, and an additional mutation in AVR2 generated race 3. Previously, we reported a new biotype of race 3, KoChi-1, in which AVR1 was truncated by a transposon Hormin , which suggested a new route to evolution of races in Fol . However, to date no race 2 isolate carrying Hormin -truncated AVR1 has been reported. In this report, we describe such isolates, represented by Chiba-5, in which Hormin insertion occurred in AVR1 at a position different from that in KoChi-1. AVR1 truncation in both isolates resulted in production of defective Avr1 proteins. Chiba-5 and KoChi-1 belong to different phylogenetic clades, A1 and A2, respectively, suggesting that insertion of Hormin in AVR1 in Chiba-5 and KoChi-1 occurred as independent evolutionary events.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 24
    Publication Date: 2016-09-02
    Description: The LBIT-1200 strain of Bacillus thuringiensis was recently isolated from soil, and showed a 6.4 and 9.5 increase in toxicity, against Manduca sexta and Trichoplusia ni , respectively, compared to HD-73. However, LBIT-1200 was still highly similar to HD-73, including the production of bipyramidal crystals containing only one protein of ~130 000 kDa, its flagellin gene sequence related to the kurstaki serotype, plasmid and RepPCR patterns similar to HD-73, no production of β-exotoxin and no presence of VIP genes. Sequencing of its cry gene showed the presence of a cry1Ac -type gene with four amino acid differences, including two amino acid replacements in domain III, compared to Cry1Ac1, which may explain its higher toxicity. In conclusion, the LBIT-1200 strain is a variant of the HD-73 strain but shows a much higher toxicity, which makes this new strain an important candidate to be developed as a bioinsecticide, once it passes other tests, throughout its biotechnological development.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 25
    Publication Date: 2016-09-14
    Description: Gastrointestinal (GI) leakage in Clostridium difficile -associated diarrhea (CDAD) is well known but is not routinely assessed in clinical practice. Serum (1-〉3)-β-D-glucan (BG), a fungal cell wall component used as a biomarker for invasive fungal disease, was tested in a CDAD mouse model with and without probiotics. Higher serum fluorescein isothiocyanate-dextran (FITC-dextran) and spontaneous gram-negative bacteremia, GI leakage indicators, were frequently found in CDAD mice, which died compared with those which survived. BG, serum macrophage inflammatory protein-2 and FITC-dextran but not quantitative blood bacterial count differentiated the clinical severity. Interestingly, a specific dose of Lactobacillus rhamnosus L34 attenuated CDAD and decreased serum BG and FITC-dextran, but not other parameters. BG also showed a higher area under the receiver operating characteristic curve for 7-day mortality than FITC-dextran. Fifty-five percent of CDAD mice with BG ≥ 60 pg/ml (the human negative cut-off value for invasive fungal disease) at 1 day after C. difficile gavage died within 7 days. In conclusion, s erum BG was elevated in mice with severe CDAD, an established model of GI leakage with a strong association with mortality rate. BG monitoring in patients with CDAD is of interest as both a potential prognostic tool and a therapeutic efficacy indicator.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 26
    Publication Date: 2016-08-28
    Description: Chlamydial species are common intracellular parasites that cause various diseases, mainly characterized by persistent infection, which lead to inflammatory responses modulated by pattern recognition receptors (PRRs). The best understood PRRs are the extracellular Toll-like receptors, but recent significant advances have focused on two important proteins, NOD1 and NOD2, which are members of the intracellular nucleotide-binding oligomerization domain receptor family and are capable of triggering the host innate immune signaling pathways. This results in the production of pro-inflammatory cytokines, which is vital for an adequate host defense against intracellular chlamydial infection. NOD1/2 ligands are known to derive from peptidoglycan, and the latest research has resolved the paradox of whether chlamydial species possess this bacterial cell wall component; this finding is likely to promote in-depth investigations into the interaction between the NOD proteins and chlamydial pathogens. In this review, we summarize the basic characteristics and signal transduction functions of NOD1 and NOD2 and highlight the new research on the roles of NOD1 and NOD2 in the host defense against chlamydial infection.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 27
    Publication Date: 2016-08-28
    Description: Two strains of Aeromonas salmonicida , YK and BG, were isolated from largemouth bronze gudgeon and northern whitefish in China, and identified as A. salmonicida subsp. salmonicida based on phylogenetic analysis of vapA and 16S rRNA gene sequences. YK and BG originated from freshwater fish, one of which belonged to the cyprinid family, and the strains showed a difference in virulence. Subsequently, we performed whole genome sequencing of the strains, and comparison of their genomic sequences to the genome of the A449 reference strain revealed various genomic rearrangements, including a new variant of the genomic island AsaGEI in BG, designated as AsaGEI2c . This is the first report on a GEI of A. salmonicida strain from China. Furthermore, both YK and BG strains contained a Tn7 transposon inserted at the same position in the chromosome. Finally, IS-dependent rearrangements on pAsa5 are deemed likely to have occurred, with omission of the resD gene in both strains as well as omission of genes related to the IncF conjugal transfer system in the YK isolate. This study demonstrates that A. salmonicida subsp. salmonicida can infect non-salmonids (cyprinids) in addition to salmonids, and that AsaGEI2c might be useful as a geographical indicator of Chinese A. salmonicida subsp. salmonicida isolates.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 28
    Publication Date: 2016-07-09
    Description: Burkholderia pseudomallei is an intracellular Gram-negative bacterial pathogen and the causative agent of melioidosis, a widespread disease in Southeast Asia. Reactive nitrogen, in an intermediate form of nitric oxide (NO), is one of the first lines of defense used by host cells to eliminate intracellular pathogens, through the stimulation of inducible nitric oxide synthase (iNOS). Studies in phagocytotic cells have shown that the iNOS response is muted in B. pseudomallei infection, and implicated the rpoS sigma factor as a key regulatory factor mediating suppression. The liver is a main visceral organ affected by B. pseudomallei , and there is little knowledge about the interaction of liver cells and B. pseudomallei . This study investigated the induction of iNOS, as well as autophagic flux and light-chain 3 (LC3) localization in human liver (HC04) cells in response to infection with B. pseudomallei and its rpoS deficient mutant. Results showed that the rpoS mutant was unable to suppress iNOS induction and that the mutant showed less induction of autophagy and lower co-localization with LC3, and this was coupled with a lower intracellular growth rate. Combining these results suggest that B. pseudomallei rpoS is an important factor in establishing infection in liver cells.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 29
    Publication Date: 2016-03-01
    Description: Microfluidics may revolutionize our ability to write synthetic DNA by addressing several fundamental limitations associated with generating novel genetic constructs. Here we report the first de novo synthesis and cell-free cloning of custom DNA libraries in sub-microliter reaction droplets using programmable digital microfluidics. Specifically, we developed Programmable Order Polymerization (POP), Microfluidic Combinatorial Assembly of DNA (M-CAD) and Microfluidic In-vitro Cloning (MIC) and applied them to de novo synthesis, combinatorial assembly and cell-free cloning of genes, respectively. Proof-of-concept for these methods was demonstrated by programming an autonomous microfluidic system to construct and clone libraries of yeast ribosome binding sites and bacterial Azurine, which were then retrieved in individual droplets and validated. The ability to rapidly and robustly generate designer DNA molecules in an autonomous manner should have wide application in biological research and development.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 30
    Publication Date: 2016-03-01
    Description: Recent developments in synthetic biology have positioned lactic acid bacteria (LAB) as a major class of cellular chassis for applications. To achieve the full potential of LAB, one fundamental prerequisite is the capacity for rapid engineering of complex gene networks, such as natural biosynthetic pathways and multicomponent synthetic circuits, into which cellular functions are encoded. Here, we present a synthetic biology platform for rapid construction and optimization of large-scale gene networks in LAB. The platform involves a copy-controlled shuttle for hosting target networks and two associated strategies that enable efficient genetic editing and phenotypic validation. By using a nisin biosynthesis pathway and its variants as examples, we demonstrated multiplex, continuous editing of small DNA parts, such as ribosome-binding sites, as well as efficient manipulation of large building blocks such as genes and operons. To showcase the platform, we applied it to expand the phenotypic diversity of the nisin pathway by quickly generating a library of 63 pathway variants. We further demonstrated its utility by altering the regulatory topology of the nisin pathway for constitutive bacteriocin biosynthesis. This work demonstrates the feasibility of rapid and advanced engineering of gene networks in LAB, fostering their applications in biomedicine and other areas.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 31
    Publication Date: 2016-02-20
    Description: Bacteriophages are viruses that infect bacteria. There are an estimated 10 31 phage on the planet, making them the most abundant form of life. We are rapidly approaching the centenary of their identification, and yet still have only a limited understanding of their role in the ecology and evolution of bacterial populations. Temperate prophage carriage is often associated with increased bacterial virulence. The rise in use of technologies, such as genome sequencing and transcriptomics, has highlighted more subtle ways in which prophages contribute to pathogenicity. This review discusses the current knowledge of the multifaceted effects that phage can exert on their hosts and how this may contribute to bacterial adaptation during infection.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 32
    Publication Date: 2016-02-20
    Description: Phthiocerol dimycocerosates (PDIMs) and phenolic glycolipids (PGLs) contribute to the pathogenicity of several mycobacteria. Biosynthesis of these virulence factors requires polyketide synthases and other enzymes that represent potential targets for the development of adjuvant antivirulence drugs. We used six isogenic Mycobacterium marinum mutants, each with a different gene knockout in the PDIM/PGL biosynthetic pathway, to probe the pleiotropy of mutations leading to PDIM – PGL – , PDIM + PGL – or PDIM – PGL + phenotypes. We evaluated the M. marinum mutants for changes in antibiotic susceptibility, cell envelope permeability, biofilm formation, surface properties, sliding motility and virulence in an amoeba model. The analysis also permitted us to begin exploring the hypothesis that different gene knockouts rendering the same PDIM and/or PGL deficiency phenotypes lead to M. marinum mutants with equivalent pleiotropic profiles. Overall, the results of our study revealed a complex picture of pleiotropic patterns emerging from different gene knockouts, uncovered unexpected phenotypic inequalities between mutants, and provided new insight into the phenotypic consequences of gene knockouts in the PDIM/PGL biosynthetic pathway.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 33
    Publication Date: 2016-02-20
    Description: Culture medium from an isolate of the fungus Aspergillus candidus was extracted, fractionated and examined to discover compounds antagonistic to plant-parasitic nematodes that are important pathogens of agricultural crops. Column, thin layer and preparative chromatographies and spectral and elemental analyses, were used to isolate and identify two major constituents of an active fraction (Fraction F) obtained from the medium. Compound 1 was identified as 2-hydroxypropane-1, 2, 3-tricarboxylic acid (citric acid). Compound 2 was identified as 3-hydroxy-5-methoxy-3-(methoxycarbonyl)-5-oxopentanoic acid, an isomer of 1, 2-dimethyl citrate. Compound 1 and a citric acid standard, each tested at 50 mg mL –1 in water, decreased hatch from eggs of the plant-parasitic nematode Meloidogyne incognita by more than 94%, and completely immobilized second-stage juveniles after 4–6 days exposure. Fraction F and Compounds 1 and 2 decreased the mobility of adults of the plant-parasitic nematode Ditylenchus destructor in vitro . Fraction F (25 mg mL –1 ) inhibited mobility 〉99% at 72 hrs. Compounds 1 and 2 (50 mg mL –1 ) each inhibited mobility more than 25% at 24 hr and more than 50% at 72 hr. This is the first assignment of nematode-antagonistic properties to specifically identified A. candidus metabolites.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 34
    Publication Date: 2016-02-27
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 35
    Publication Date: 2016-02-25
    Description: An RNAi system based on T7 RNA polymerase (TRNAP) was designed and examined in Aspergillus fumigatus . This system consists of two elements; an inducible T7RNAP expressing cassette and an AMA1-based episomal RNAi plasmid. These constructs were transformed into the A. fumigatus protoplasts and the efficiency of this system was tested in downregulation of alb1 gene. Upon the induction of T7RNAP expression, the recombinant T7RNAP was able to recognize T7 promoters, which were located on the episomal plasmid and in opposite direction. As a result, the bidirectional transcription of alb1 fragment led to the silencing of the target gene. However, our results demonstrated that this silencing system is unstable and may not be applicable in preparation of RNAi libraries.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 36
    Publication Date: 2016-05-20
    Description: A simple diagnosis of the presence or absence of an infection is an uninformative metric when individuals differ considerably in their tolerance to different infection loads or resistance to rates of disease progression. Models that incorporate the relationship between the progression of the infection with the potential alternate outcomes provide a far more powerful predictive tool than diagnosis alone. The global decline of amphibians has been amplified by Batrachochytrium dendrobatidis , a pathogen that can cause the fatal disease chytridiomycosis. We measured the infection load and observed signs of disease in Litoria aurea . Receiver operating characteristic curves were used to quantify the dissimilarity between the infection loads of L. aurea that showed signs associated with chytridiomycosis and those that did not. Litoria aurea had a 78% probability of developing chytridiomycosis past a threshold of 68 zoospore equivalents (ZE) per swab and chytridiomycosis occurred within a variable range of 0.5–490 ZE. Studies should incorporate a species-specific threshold as a predictor of chytridiomycosis, rather than a binary diagnosis. Measures of susceptibility to chytridiomycosis must account not only for the ability of B. dendrobatidis to increase its abundance on the skin of amphibians but also to determine how each species tolerates these infection loads.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 37
    Publication Date: 2016-03-24
    Description: The control and prevention of biofilm-related infections is an important public healthcare issue. Given the increasing antibiotic resistance among bacteria and fungi that cause serious infections in humans, promotion of new strategies combating microorganisms has been essential. One attractive approach to inactivate microorganisms is the use of semiconductor photo-catalysis, which has become the subject of extensive research. In this study, the bactericidal properties of four photo-catalysts, TiO 2 , TiO 2 -S, TiO 2 -Eu and TiO 2 -Eu-S, were investigated against established 24, 48, 72 and 96 h biofilms of Enterococcus . The exposure of biofilms to the catalysts induced the production of superoxide radical anions. The best photo-catalytic inactivation was achieved with the TiO 2 -Eu-S and TiO 2 -S nanopowders and 24 h biofilms. Transmission electron microscopy images showed significant changes in the structure of the biofilm cells following photo-inactivation. The results suggest that doping with europium and modifying the surface with sulphate groups enhanced the bactericidal activity of the TiO 2 nanoparticles against enterococcal biofilms.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 38
    Publication Date: 2016-05-25
    Description: The Pseudomonas aeruginosa Chp chemosensory system regulates twitching motility, intracellular adenosine 3 '' 5 ' -cyclic monophosphate (cAMP) levels and is postulated to be involved in directional twitching towards phosphatidylethanolamine (PE). Because PilJ is the only methyl-accepting chemotaxis protein (MCP) identified in the Chp system, we determined the role of PilJ in mediating signal transduction for the distinct outputs of this system. Mutants that lack the periplasmic domain of PilJ ( pilJ 74-273 ) showed lower levels of cAMP but retained directional twitching towards PE. While initial studies revealed reduced twitching motility by PilJ 74-273 , this was due to decreased cAMP levels. Our data illustrate the importance of the periplasmic domain of PilJ in regulating cAMP. This is the first time a defined domain within PilJ has been identified as having a distinct role in signal transduction.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 39
    Publication Date: 2016-04-24
    Description: Histone-like nucleoid-structuring (H-NS) proteins, which are conserved in Gram-negative bacteria, bind DNA and act as the global transcriptional repressors. In this study, we identified and characterized the xrvC gene encoding a H-NS protein in Xathomonas oryzae pv. oryzae ( Xoo ) Philippines strain PXO99 A . Compared with the wild type, the xrvC -deficient mutant of PXO99 A (named PXO99 xrvC ) showed a reduced growth rate in both nutrient-rich and nutrient-limited media. Interestingly, PXO99 xrvC exhibited significantly reduced virulence on rice cultivar IRBB214, but its virulence on 31 other rice cultivars was not affected. Transcriptional analysis revealed that the expression of hrpG , hrpX and hpa1 and of 15 out of 18 tested non-TAL (transcription activator-like) effector genes was decreased significantly in the xrvC mutant compared with that in the wild type. In addition, loss of xrvC also impaired the induction of the rice susceptibility gene Os8N3 in IRBB214 by PXO99 A . Our results suggest that the xrvC gene is involved in bacterial growth, and it plays a vital role in virulence by positively regulating the expression of hrp genes and non-TAL effector genes in PXO99 A and the susceptibility gene Os8N3 in rice.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 40
    Publication Date: 2016-04-24
    Description: In Xanthomonas oryzae pv. oryzae , the pathogen of bacterial leaf blight of rice, hrp gene expression is regulated by the key hrp regulators HrpG and HrpX. HrpG regulates hrpX and hrpA , and HrpX regulates the other hrp genes on hrpB–hrpF operons. We previously examined the expression of the HrpX-regulated hrp gene hrcU and demonstrated that hrp gene expression is highly induced in a certain nutrient-poor medium containing xylose. In the present study, we found that the induction level of HrpX-regulated hrp genes was higher in medium with xylose than in media with any other sugar sources (glucose, sucrose and fructose), but that expression of hrpG , hrpX and hrpA was independent of the sugar sources. In western blot analysis, the accumulation of HrpX was reduced in media with a sugar other than xylose, probably as a result of proteolysis, but the addition of xylose canceled this reduced accumulation of the protein. The results suggest that proteolysis of HrpX is an important hrp regulatory mechanism and that xylose specifically suppresses this proteolysis, resulting in active hrp gene expression in X. oryzae pv. oryzae .
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 41
    Publication Date: 2016-04-24
    Description: Antibiotic therapy has long term consequences in the intestinal microbiome. Clostridium difficile has a well-known role in antibiotic-associated diarrhea, but in addition, persistent infection with this organism may increase the risk for developing inflammatory bowel disease. Here, recent literature on how the intestinal microbiome is altered by antibiotic therapy is presented.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 42
    Publication Date: 2016-03-19
    Description: While the cost of DNA sequencing has dropped by five orders of magnitude in the past decade, DNA synthesis remains expensive for many applications. Although DNA microarrays have decreased the cost of oligonucleotide synthesis, the use of array-synthesized oligos in practice is limited by short synthesis lengths, high synthesis error rates, low yield and the challenges of assembling long constructs from complex pools. Toward addressing these issues, we developed a protocol for multiplex pairwise assembly of oligos from array-synthesized oligonucleotide pools. To evaluate the method, we attempted to assemble up to 2271 targets ranging in length from 192–252 bases using pairs of array-synthesized oligos. Within sets of complexity ranging from 131–250 targets, we observed error-free assemblies for 90.5% of all targets. When all 2271 targets were assembled in one reaction, we observed error-free constructs for 70.6%. While the assembly method intrinsically increased accuracy to a small degree, we further increased accuracy by using a high throughput ‘Dial-Out PCR’ protocol, which combines Illumina sequencing with an in-house set of unique PCR tags to selectively amplify perfect assemblies from complex synthetic pools. This approach has broad applicability to DNA assembly and high-throughput functional screens.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 43
    Publication Date: 2016-03-13
    Description: The aim of the present study was to verify whether penicillin-resistant, ampicillin-susceptible Enterococcus faecalis (PRASEF) occurred in Brazil prior to the beginning of the 21st century, and to verify whether ampicillin susceptibility can predict susceptibility to other β-lactams in E. faecalis with this inconsistent phenotype. The presence of polymorphisms in the pbp4 gene and genetic diversity among the isolates were investigated. Of 21 PRASEF analyzed, 5 (23.8%) and 4 (19.0%) were imipenem and piperacillin resistant simultaneously by disk diffusion and broth dilution respectively, contradicting the current internationally accepted standards of susceptibility testing. Sequencing of pbp4 gene revealed an amino acid substitution (Asp-573-〉Glu) in all PRASEF isolates but not in the penicillin-susceptible, ampicillin-susceptible E. faecalis . Most PRASEF (90.5%) had related pulsed-field gel electrophoresis profiles, but were different from other PRASEF described to date. Results demonstrate that penicillin-resistant, ampicillin-susceptible phenotype was already a reality in the 1990s in E. faecalis isolates in different Brazilian states, and some of these isolates were also imipenem- and piperacillin-resistant; therefore, internationally accepted susceptibility criteria cannot be applied to these isolates. According to pbp4 gene sequencing, this study suggests that a specific amino acid substitution in pbp4 gene found in all PRASEF analyzed is associated with penicillin resistance.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 44
    Publication Date: 2016-05-05
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 45
    Publication Date: 2016-04-24
    Description: The Mycobacterium tuberculosis Rv0679c protein is a surface protein that contributes to host cell invasion. We previously showed that a single nucleotide transition of the Rv0679c gene leads to a single amino acid substitution from asparagine to lysine at codon 142 in the Beijing genotype family. In this study, we examined the immunological effect of this substitution. Several recombinant proteins were expressed in Escherichia coli and Mycobacterium smegmatis and characterized with antisera and two monoclonal antibodies named 5D4-C2 and 8G10-H2. A significant reduction of antibody binding was detected by enzyme-linked immunosorbent assay (ELISA) and western blot analysis in the Lys142-type protein. This reduction of 8G10-H2 binding was more significant, with the disappearance of a signal in the proteins expressed by recombinant mycobacteria in western blot analysis. In addition, epitope mapping analysis of the recombinant proteins showed a linear epitope by 5D4-C2 and a discontinuous epitope by 8G10-H2. The antibody recognizing the conformational epitope detected only mycobacterial Asn142-type recombinant protein. Our results suggest that a single amino acid substitution of Rv0679c has potency for antigenic change in Beijing genotype strains.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 46
    Publication Date: 2016-04-20
    Description: Listeria monocytogenes possesses the highest number of leucine-rich repeat (LRR)-containing proteins among all Gram-positive bacteria; these LRR-containing molecules are known as the ‘internalin’ family. To understand the functions of largely uncharacterized LRR-containing molecules, we constructed seven deletion mutants in the L. monocytogenes H7858 strain targeting genes in this family and tested their virulence. Among the seven mutants, the LMOh7858_0369 strain and the LMOh7858_2546 strain showed significantly impaired invasiveness of HepG2 cells. We further tested the virulence of these two strains in the intravascular sepsis model using BALB/c mice. Interestingly, the LMOh7858_0369 strain showed significant reduction in organ colonization, bacteremia and invasion of the brain compared with the parental wild-type strain. Host immune responses to listerial intravascular infection were measured at 24 and 72 h post-infection. Transcript levels of several proinflammatory cytokines and chemokines were significantly lower when induced by the lmOh7858_0369 strain than when induced by the wild type. These results suggest that the putative LRR-containing protein encoded by LMOh7858_0369 might be a novel virulence factor of the L. monocytogenes H7858 strain.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 47
    Publication Date: 2016-04-20
    Description: Several Gram-positive pathogens scavenge host-derived heme to satisfy their nutritional iron requirement. However, heme is a toxic molecule capable of damaging the bacterial cell. Gram-positive pathogens within the phylum Firmicutes overcome heme toxicity by sensing heme through HssRS, a two-component system that regulates the heme detoxification transporter HrtAB. Here we show that heme sensing by HssRS and heme detoxification by HrtAB occur in the insect pathogen Bacillus thuringiensis . We find that in B. thuringiensis , HssRS directly regulates an operon, hrmXY , encoding hypothetical membrane proteins that are not found in other Firmicutes with characterized HssRS and HrtAB systems. This novel HssRS-regulated operon or its orthologs BMB171_c3178 and BMB171_c3330 are required for maximal heme resistance. Furthermore, the activity of HrmXY is not dependent on expression of HrtAB. These results suggest that B. thuringiensis senses heme through HssRS and induces expression of separate membrane-localized systems capable of overcoming different aspects of heme toxicity.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 48
    Publication Date: 2016-04-20
    Description: The aim of this study was to understand the pathogenesis of motile aeromonas septicemia caused by an emergent, high virulent Aeromonas hydrophila (vAh) in channel catfish, Ictalurus punctatus . Adipose fin clipped catfish were challenged with vAh using a waterborne challenge method, and the distribution of vAh over a time course was detected and quantified using real-time polymerase chain reaction. The results showed that 77.8% of fish died within 48 h post challenge with mean day to death of 1.5 days. At 2 h post challenge, vAh (inferred from genomic DNA copies or genome equivalents) was detected in all external and internal tissues sampled. Gill had the highest vAh cells at 1 h post challenge. Spleen harbored the most vAh cells among internal organs at 4 h post challenge. The tissues/organs with most vAh cells detected at 8 h post challenge were adipose fin, blood, intestine, kidney and skin, while liver showed the highest vAh cells at 24 h post challenge. These results suggest that vAh was able to rapidly proliferate and spread, following wound infection, through the fish blood circulation system and cause mortality within 8–24 h.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 49
    Publication Date: 2016-04-20
    Description: The major Staphylococcus aureus autolysin, Atl, has been implicated in attachment to surfaces and release of extracellular DNA during biofilm formation under laboratory conditions. Consistent with this, polyclonal antibodies to the amidase and glucosaminidase domains of Atl inhibited in vitro biofilm formation. However, in a murine model of device-related infection the community-associated S. aureus strain USA300 LAC JE2 established a successful infection in the absence of atl . These data indicate that Atl activity is not required for biofilm production in this infection model and reveal the importance of characterizing the contribution of biofilm phenotypes to virulence under in vivo conditions.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 50
    Publication Date: 2016-09-03
    Description: Libraries of well-characterised components regulating gene expression levels are essential to many synthetic biology applications. While widely available for the Gram-negative model bacterium Escherichia coli , such libraries are lacking for the Gram-positive model Bacillus subtilis , a key organism for basic research and biotechnological applications. Here, we engineered a genetic toolbox comprising libraries of promoters, Ribosome Binding Sites (RBS), and protein degradation tags to precisely tune gene expression in B. subtilis . We first designed a modular Expression Operating Unit (EOU) facilitating parts assembly and modifications and providing a standard genetic context for gene circuits implementation. We then selected native, constitutive promoters of B. subtilis and efficient RBS sequences from which we engineered three promoters and three RBS sequence libraries exhibiting ~14 000-fold dynamic range in gene expression levels. We also designed a collection of SsrA proteolysis tags of variable strength. Finally, by using fluorescence fluctuation methods coupled with two-photon microscopy, we quantified the absolute concentration of GFP in a subset of strains from the library. Our complete promoters and RBS sequences library comprising over 135 constructs enables tuning of GFP concentration over five orders of magnitude, from 0.05 to 700 μM. This toolbox of regulatory components will support many research and engineering applications in B. subtilis .
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 51
    Publication Date: 2016-10-26
    Description: Edwardsiella tarda is distributed widely in a variety of hosts. Eha has recently been found to be its virulence regulator. In order to explore the mechanism of its regulation, we investigated the survival rates of wild type strain ET13, and its eha mutant and complemented strains in RAW264.7 macrophages under light microscopic observation as well as by counting bacterial CFUs on the plates. All of the different strains could live within the macrophages; however, the intracellular numbers of the wild type were significantly higher than the mutant when the incubation time extended 4 h or 6 h ( P 〈 0.05). Furthermore, more ROS were produced by the mutant-infected cells, indicating that Eha may enhance ET13's capacity to detoxify ROS. In agreement with this, we found that the mutant exhibited more sensitivity by H 2 O 2 disk inhibitory assay and less survival ability with H 2 O 2 treatment. We further demonstrated that the bacterial antioxidant enzymes SodC and KatG were regulated by Eha with qRT-PCR and β -galactosidase assay. Collectively, our data show Eha is required for E. tarda to resist the oxidative stress from the macrophages.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 52
    Publication Date: 2016-08-07
    Description: Direct interaction between pathogens and host cells often is a prerequisite for colonization, infection and dissemination. Regulated production of capsular polysaccharide (CPS), which is made of hyaluronic acid, is essential for the pathogenicity of Streptococcus equi subsp. Zooepidemicus (SEZ). Here, we constructed a CPS-deleted mutant and analyzed it along with the parental wild-type strain in attachment and invasion of mammalian epithelial and endothelial cell lines. The CPS-deleted mutant exhibited significant increase in adherence and invasion by several orders of magnitude compared with the wild-type strain through quantitative analysis and electron microscopy observation. After the wild-type strain was recovered from invaded cells, its morphology was analyzed by visual methods and scanning electron microscopy, which revealed that its capsule was almost completely absent. Capsule measurements showed a similar result in which CPS production was nearly attenuated to the same extent as in the CPS-deleted mutant. qPCR assays revealed a marked reduction in the transcriptional levels of the CPS biosynthesis genes, has operon. Moreover, the repression in capsular production was stable inheritance. Our findings indicate that SEZ is a facultative intracellular bacterium, capsule attenuation in SEZ contributes to attachment and invasion in interactions with host cells, and the active regulation of capsule breakdown is controlled by SEZ during internalization.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 53
    Publication Date: 2016-12-23
    Description: Burkholderia pseudomallei causes melioidosis, a potentially fatal infectious disease in tropical and subtropical countries worldwide. The intracellular behaviour of this pathogen in host cells has been reported to impact the severity of melioidosis, including the development of septicaemia, a consequence of pneumonia melioidosis. We previously identified a predicted cation transporter protein, BPSS1228, that participates in the transitional stage of this intracellular pathogen. For further analysis, in this study B. pseudomallei bpss1228 mutant and complemented strains were constructed and bacterial infectivity on human lung epithelial cells, A549, investigated in vitro . Burkholderia pseudomallei bpss1228 mutant showed impaired bacterial adhesion and invasion into A549 cells compared with wild-type strain, while the deficient phenotypes were restored to wild-type levels by the complemented strain. Additionally, the inactivation of bpss1228 in the mutant strain affected flagella-based swimming on a semi-solid surface and resistance to acid stresses simulating intracellular environments. These observations of BPSS1228 relating to B. pseudomallei infection strategies shed a new light on its association with intracellular B. pseudomallei during the interaction with host cells.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 54
    Publication Date: 2016-12-23
    Description: Formation of a transient sub-population of bacteria, referred to as persisters, is one of the most important and least understood mechanisms that bacteria employ to evade elimination. Persister cells appear to be slow-growing bacteria that are broadly protected from a wide range of antibiotics. Using both theoretical and experimental methods, we show that alternating the application and withdrawal of antibiotics can be an effective treatment—as long as the timing of the protocol is estimated with precision. More specifically, we demonstrate that timing the alternating treatment based on theoretical predictions is confirmed using experimental observations. These results support a large class of theoretical studies that show that, even without complete understanding of the biological mechanisms, these models can provide insight into properties of the system.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 55
    Publication Date: 2016-12-23
    Description: The phytopathogen Pseudomonas syringae pv. tabaci 11528 ( P. syringae 11528), causing wild-fire disease in soybean and tobacco plants, processes PsyI-PsyR quorum-sensing (QS) system, in which PsyI is the N -(3-oxo-hexanoyl)-homoserine lactone (3OC6-HSL) synthase. In comparison to P. syringae 11528 AHL-deficient mutant, 845 3OC6-HSL-dependent genes were identified using RNA sequencing (RNA-seq) in the AHL-deficient mutant grown with exogenous 3OC6-HSL in the transition from the exponential to the stationary phase, and many of them were associated with virulence, which were negatively regulated. The gene ontology and KEGG pathway enrichment analysis of those genes presented that the most pronounced regulation was involved in bacterial motility. Moreover, similar expression profiles of genes during growth phases were observed in both the wild type and the AHL-deficient mutant with exogenous 3OC6-HSL compared with the AHL-deficient mutant. These findings imply that 3OC6-HSL has a critical contribution to the QS-dependent regulation on gene expression, and 3OC6-HSL-dependent regulation may play a significant role in plant infection.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 56
    Publication Date: 2016-12-29
    Description: Helicobacter pylori commonly infects the epithelial layer of the human stomach and in some individuals causes peptic ulcers, gastric adenocarcinoma or gastric lymphoma. Helicobacter pylori is a genetically diverse species, and the most important bacterial virulence factor that increases the risk of developing disease, versus asymptomatic colonization, is the cytotoxin associated gene pathogenicity island ( cag PAI). Socially housed rhesus macaques are often naturally infected with H. pylori similar to that which colonizes humans, but little is known about the cag PAI. Here we show that H. pylori strains isolated from naturally infected rhesus macaques have a cag PAI very similar to that found in human clinical isolates, and like human isolates, it encodes a functional type IV secretion system. These results provide further support for the relevance of rhesus macaques as a valid experimental model for H. pylori infection in humans.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 57
    Publication Date: 2016-12-29
    Description: Sporisorium scitamineum is the fungus that causes sugarcane smut disease. Despite of the importance of sugarcane for Brazilian agribusiness and the persistence of the pathogen in most cropping areas, genetic variation studies are still missing for Brazilian isolates. In this study, sets of isolates were analyzed using two molecular markers (AFLP and telRFLP) and ITS sequencing. Twenty-two whips were collected from symptomatic plants in cultivated sugarcane fields of Brazil. A total of 41 haploid strains of compatible mating types were selected from individual teliospores and used for molecular genetic analyses. telRFLP and ITS analyses were expanded to six Argentine isolates, where the sugarcane smut was first recorded in America. Genetic relationship among strains suggests the human-mediated dispersal of S. scitamineum within the Brazilian territory and between the two neighboring countries. Two genetically distinct groups were defined by the combined analysis of AFLP and telRFLP. The opposite mating-type strains derived from single teliospores were clustered together into these main groups, but had not always identical haplotypes. telRFLP markers analyzed over two generations of selfing and controlled outcrossing confirmed the potential for emergence of new variants and occurrence of recombination, which are relevant events for evolution of virulence and environmental adaptation.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 58
    Publication Date: 2016-10-16
    Description: DExD/H box RNA helicases play essential roles in various biological processes in prokaryotes and eukaryotes. By screening Pseudomonas aeruginosa strains with mutations in various DExD/H box helicase genes, we identified that deaD was required for bacterial cytotoxicity and virulence in a mouse acute pneumonia model. Compared to a wild-type strain and its complementation strain, the deaD mutant induced less production of proinflammatory cytokines, neutrophil infiltration and lung damage during infection. We further found that the RNA helicase activity of DeaD was required for the expression of type III secretion system (T3SS) genes. Overexpression of ExsA, a master activator of the T3SS, restored the expression of T3SS genes as well as the virulence of the deaD mutant, suggesting that the attenuated virulence of the deaD mutant was mainly due to the defective T3SS. Overall, our results reveal a role of DeaD in the virulence of P. aeruginosa .
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 59
    Publication Date: 2016-10-20
    Description: Klebsiella pneumoniae is an opportunistic pathogen that commonly causes nosocomial infections in the urinary tract, respiratory tract, lung, wound sites and blood in individuals with debilitating diseases. Klebsiella pneumoniae is still a cause of severe pneumonia in alcoholics in Africa and Asia, and the predominant primary pathogen of primary liver abscess in Taiwan and Southeast Asia, particularly in Asian and Hispanic patients, and individuals with diabetes mellitus. In the United States and Europe, K. pneumoniae infections are most frequently associated with nosocomial infections. The emergence of antibiotic-resistant strains of K. pneumoniae worldwide has become a cause of concern where extended-spectrum β-lactamases (ESBLs) and carbapenemase-producing strains have been isolated with increasing frequency. The pathogen's ability to form biofilms on inserted devices such as urinary catheter has been proposed as one of the important mechanisms in nosocomially acquired and persistent infections, adding to the increased resistance to currently used antibiotics. In this review, infections caused by K. pneumoniae , antibiotic resistance and formation of biofilm will be discussed.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 60
    Publication Date: 2016-11-17
    Description: In recent years, extraintestinal pathogenic Escherichia coli (ExPEC) has been found to pose a great threat to human and animal health, but its pathogenic mechanism is not fully understood yet. Capsular polysaccharide, an essential virulence factor in these bacteria, can damage the host immune system, and kpsM is a member of the gene cluster responsible for capsular polysaccharide synthesis. In this study, whole sequence alignment of the virulent strain PCN033 and the attenuated strain PCN061 revealed that kpsM exists in PCN033 but not in PCN061. To determine its function and biological characteristics, we deleted kpsM from PCN033 by homologous recombination. The results of adhesion assays, phagocytosis assays and serum bactericidal assays together with the results of colonization assays in mice indicate that the deletion of kpsM decreases the virulence of porcine ExPEC. Our findings about the biological characteristics of kpsM help to elucidate the complex pathogenic mechanism of ExPEC.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 61
    Publication Date: 2016-12-16
    Description: Asexual development of phytopathogenic fungi such as Magnaporthe oryzae involves morphological changes that require spatiotemporal regulation of polarized growth. ADP-ribosylation factor 6 (Arf6) is a small GTPase known to regulate membrane trafficking and organization of the actin cytoskeleton at the cell surface, and consequently has an impact on cell morphology and polarity. In this study, we have functionally characterized the Arf6 homolog in M. oryzae , showing that arf6 exhibits hyperbranching at hyphal tips and morphologically abnormal conidia as a result of defective polarized growth. arf6 hyphae are also defective in endocytosis as evidenced by a significant delay of FM4-64 uptake. Most arf6 conidia display reduced conidial length, and have defects in conidial septum formation and nuclear distribution. Furthermore, arf6 conidia show a disorganized actin cytoskeleton with random distribution of actin patches at the cell cortex and reduced accumulation of tropomyosin. Arf6-GFP is found to concentrate at the septum area and possibly in endocytic vesicles. Taken together, our data indicate that Arf6 plays an essential role in endocytosis and polarity establishment during asexual development of M. oryzae.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 62
    Publication Date: 2016-10-08
    Description: A whole-cell biosensor utilizing a transcription factor (TF) is an effective tool for sensitive and selective detection of specialty chemicals or anthropogenic molecules, but requires access to an expanded repertoire of TFs. Using homology modeling and ligand docking for binding pocket identification, assisted by conservative mutations in the pocket, we engineered a novel specificity in an Acinetobacter TF, PobR, to ‘sense’ a chemical p-nitrophenol (pNP) and measured the response via a fluorescent protein reporter expressed from a PobR promoter. Out of 10 7 variants of PobR, four were active when dosed with pNP, with two mutants showing a specificity switch from the native effector 4-hydroxybenzoate (4HB). One of the mutants, pNPmut1 was then used to create a smart microbial cell responding to pNP production from hydrolysis of an insecticide, paraoxon, in a coupled assay involving phosphotriesterase (PTE) enzyme expressed from a separate promoter. We show the fluorescence of the cells correlated with the catalytic efficiency of the PTE variant expressed in each cell. High selectivity between similar molecules (4HB versus pNP), high sensitivity for pNP detection (~2 μM) and agreement of apo- and holo-structures of PobR scaffold with predetermined computational models are other significant results presented in this work.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 63
    Publication Date: 2016-10-08
    Description: The transduction mediated by bacteriophages is considered to be one of the primary driving forces in horizontal gene transfer in staphylococci, which is crucial to their adaptation and successful evolution. For a transduction to be effective, it is generally accepted that the recipient strain should be susceptible to the transducing phage. In this study, we demonstrate that the plasmid DNAs are effectively transduced into the recipient Staphylococcus aureus strains in spite of their insensitivity to the lytic action of the transducing phage, provided that these phages adsorb effectively to the bacterial cells. The tetracycline and penicillinase plasmids were transduced to insensitive laboratory and clinical strains by bacteriophages 29, 52A and 80α as well as by prophage 53 and naturally occurring prophages induced from donor lysogenic strains. Comparable frequencies of transduction were achieved in both phage-sensitive and phage-insensitive recipient strains. We have demonstrated that such mechanisms as the restriction of DNA and lysogenic immunity which are responsible for insensitivity of cells to phages may not be a barrier to the transfer, maintenance and effective spread of plasmids to a wider range of potential recipients in the staphylococcal population.
    Keywords: Pathogens & Pathogenicity
    Print ISSN: 0378-1097
    Electronic ISSN: 1574-6968
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 64
    Publication Date: 2016-10-08
    Description: Advances in synthetic biology and our understanding of the rules of promoter architecture have led to the development of diverse synthetic constitutive and inducible promoters in eukaryotes and prokaryotes. However, the design of promoters inducible by specific endogenous or environmental conditions is still rarely undertaken. In this study, we engineered and characterized a set of strong, synthetic promoters for budding yeast Saccharomyces cerevisiae that are inducible under acidic conditions (pH ≤ 3). Using available expression and transcription factor binding data, literature on transcriptional regulation, and known rules of promoter architecture we improved the low-pH performance of the YGP1 promoter by modifying transcription factor binding sites in its upstream activation sequence. The engineering strategy outlined for the YGP1 promoter was subsequently applied to create a response to low pH in the unrelated CCW14 promoter. We applied our best promoter variants to low-pH fermentations, enabling ten-fold increased production of lactic acid compared to titres obtained with the commonly used, native TEF1 promoter. Our findings outline and validate a general strategy to iteratively design and engineer synthetic yeast promoters inducible to environmental conditions or stresses of interest.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 65
    Publication Date: 2016-12-01
    Description: Sensory photoreceptors have enabled non-invasive and spatiotemporal control of numerous biological processes. Photoreceptor engineering has expanded the repertoire beyond natural receptors, but to date no generally applicable strategy exists towards constructing light-regulated protein actuators of arbitrary function. We hence explored whether the homodimeric Rhodobacter sphaeroides light-oxygen-voltage (LOV) domain ( Rs LOV) that dissociates upon blue-light exposure can confer light sensitivity onto effector proteins, via a mechanism of light-induced functional site release. We chose the RNA-guided programmable DNA endonuclease Cas9 as proof-of-principle effector, and constructed a comprehensive library of Rs LOV inserted throughout the Cas9 protein. Screening with a high-throughput assay based on transcriptional repression in Escherichia coli yielded paRC9, a moderately light-activatable variant. As domain insertion can lead to protein destabilization, we also screened the library for temperature-sensitive variants and isolated tsRC9, a variant with robust activity at 29°C but negligible activity at 37°C. Biochemical assays confirmed temperature-dependent DNA cleavage and binding for tsRC9, but indicated that the light sensitivity of paRC9 is specific to the cellular setting. Using tsRC9, the first temperature-sensitive Cas9 variant, we demonstrate temperature-dependent transcriptional control over ectopic and endogenous genetic loci. Taken together, Rs LOV can confer light sensitivity onto an unrelated effector; unexpectedly, the same LOV domain can also impart strong temperature sensitivity.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 66
    Publication Date: 2015-05-03
    Description: Transformation-associated recombination (TAR) protocol allowing the selective isolation of full-length genes complete with their distal enhancer regions and entire genomic loci with sizes up to 250 kb from complex genomes in yeast S. cerevisiae has been developed more than a decade ago. However, its wide spread usage has been impeded by a low efficiency (0.5–2%) of chromosomal region capture during yeast transformants which in turn requires a time-consuming screen of hundreds of colonies. Here, we demonstrate that pre-treatment of genomic DNA with CRISPR-Cas9 nucleases to generate double-strand breaks near the targeted genomic region results in a dramatic increase in the fraction of gene-positive colonies (up to 32%). As only a dozen or less yeast transformants need to be screened to obtain a clone with the desired chromosomal region, extensive experience with yeast is no longer required. A TAR-CRISPR protocol may help to create a bank of human genes, each represented by a genomic copy containing its native regulatory elements, that would lead to a significant advance in functional, structural and comparative genomics, in diagnostics, gene replacement, generation of animal models for human diseases and has a potential for gene therapy.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 67
    Publication Date: 2015-04-21
    Description: RNA research and therapy relies primarily on synthetic RNAs. We employed recombinant RNA technology toward large-scale production of pre-miRNA agents in bacteria, but found the majority of target RNAs were not or negligibly expressed. We thus developed a novel strategy to achieve consistent high-yield biosynthesis of chimeric RNAs carrying various small RNAs (e.g. miRNAs, siRNAs and RNA aptamers), which was based upon an optimal noncoding RNA scaffold (OnRS) derived from tRNA fusion pre-miR-34a (tRNA/mir-34a). Multi-milligrams of chimeric RNAs (e.g. OnRS/miR-124, OnRS/GFP-siRNA, OnRS/Neg (scrambled RNA) and OnRS/MGA (malachite green aptamer)) were readily obtained from 1 l bacterial culture. Deep sequencing analyses revealed that mature miR-124 and target GFP-siRNA were selectively released from chimeric RNAs in human cells. Consequently, OnRS/miR-124 was active in suppressing miR-124 target gene expression and controlling cellular processes, and OnRS/GFP-siRNA was effective in knocking down GFP mRNA levels and fluorescent intensity in ES-2/GFP cells and GFP -transgenic mice. Furthermore, the OnRS/MGA sensor offered a specific strong fluorescence upon binding MG, which was utilized as label-free substrate to accurately determine serum RNase activities in pancreatic cancer patients. These results demonstrate that OnRS-based bioengineering is a common, robust and versatile strategy to assemble various types of small RNAs for broad applications.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 68
    Publication Date: 2015-10-15
    Description: Natural regulatory networks contain many interacting components that allow for fine-tuning of switching and memory properties. Building simple bistable switches, synthetic biologists have learned the design principles of complex natural regulatory networks. However, most switches constructed so far are so simple (e.g. comprising two regulators) that they are functional only within a limited parameter range. Here, we report the construction of robust, tunable bistable switches in Escherichia coli using three heterologous protein regulators (ExsADC) that are sequestered into an inactive complex through a partner swapping mechanism. On the basis of mathematical modeling, we accurately predict and experimentally verify that the hysteretic region can be fine-tuned by controlling the interactions of the ExsADC regulatory cascade using the third member ExsC as a tuning knob. Additionally, we confirm that a dual-positive feedback switch can markedly increase the hysteretic region, compared to its single-positive feedback counterpart. The dual-positive feedback switch displays bistability over a 10 6 -fold range of inducer concentrations, to our knowledge, the largest range reported so far. This work demonstrates the successful interlocking of sequestration-based ultrasensitivity and positive feedback, a design principle that can be applied to the construction of robust, tunable, and predictable genetic programs to achieve increasingly sophisticated biological behaviors.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 69
    Publication Date: 2015-04-21
    Description: We describe solid-phase cloning (SPC) for high-throughput assembly of expression plasmids. Our method allows PCR products to be put directly into a liquid handler for capture and purification using paramagnetic streptavidin beads and conversion into constructs by subsequent cloning reactions. We present a robust automated protocol for restriction enzyme based SPC and its performance for the cloning of 〉60 000 unique human gene fragments into expression vectors. In addition, we report on SPC-based single-strand assembly for applications where exact control of the sequence between fragments is needed or where multiple inserts are to be assembled. In this approach, the solid support allows for head-to-tail assembly of DNA fragments based on hybridization and polymerase fill-in. The usefulness of head-to-tail SPC was demonstrated by assembly of 〉150 constructs with up to four DNA parts at an average success rate above 80%. We report on several applications for SPC and we suggest it to be particularly suitable for high-throughput efforts using laboratory workstations.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 70
    Publication Date: 2015-12-02
    Description: Optimizing bio-production involves strain and process improvements performed as discrete steps. However, environment impacts genotype and a strain that is optimal under one set of conditions may not be under different conditions. We present a methodology to simultaneously vary genetic and process factors, so that both can be guided by design of experiments (DOE). Advances in DNA assembly and gene insulation facilitate this approach by accelerating multi-gene pathway construction and the statistical interpretation of screening data. This is applied to a 6-aminocaproic acid (6-ACA) pathway in Escherichia coli consisting of six heterologous enzymes. A 32-member fraction factorial library is designed that simultaneously perturbs expression and media composition. This is compared to a 64-member full factorial library just varying expression (0.64 Mb of DNA assembly). Statistical analysis of the screening data from these libraries leads to different predictions as to whether the expression of enzymes needs to increase or decrease. Therefore, if genotype and media were varied separately this would lead to a suboptimal combination. This is applied to the design of a strain and media composition that increases 6-ACA from 9 to 48 mg/l in a single optimization step. This work introduces a generalizable platform to co-optimize genetic and non-genetic factors.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 71
    Publication Date: 2015-08-18
    Description: Synthetic biology has significantly advanced the rational design of trigger-inducible gene switches that program cellular behavior in a reliable and predictable manner. Capitalizing on genetic componentry, including the repressor PmeR and its cognate operator O PmeR , that has evolved in Pseudomonas syringae pathovar tomato DC3000 to sense and resist plant-defence metabolites of the paraben class, we have designed a set of inducible and repressible mammalian transcription-control devices that could dose-dependently fine-tune transgene expression in mammalian cells and mice in response to paraben derivatives. With an over 60-years track record as licensed preservatives in the cosmetics industry, paraben derivatives have become a commonplace ingredient of most skin-care products including shower gels, cleansing toners and hand creams. As parabens can rapidly reach the bloodstream of mice following topical application, we used this feature to percutaneously program transgene expression of subcutaneous designer cell implants using off-the-shelf commercial paraben-containing skin-care cosmetics. The combination of non-invasive, transdermal and orthogonal trigger-inducible remote control of transgene expression may provide novel opportunities for dynamic interventions in future gene and cell-based therapies.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 72
    Publication Date: 2015-08-18
    Description: Effector-triggered immunity (ETI) is activated when plant disease resistance (R) proteins recognize the presence of pathogen effector proteins delivered into host cells. The ETI response generally encompasses a defensive ‘hypersensitive response’ (HR) that involves programmed cell death at the site of pathogen recognition. While many R protein and effector protein pairs are known to trigger HR, other components of the ETI signaling pathway remain elusive. Effector genes regulated by inducible promoters cause background HR due to leaky protein expression, preventing the generation of relevant transgenic plant lines. By employing the HyP5SM suicide exon, we have developed a strategy to tightly regulate effector proteins such that HR is chemically inducible and non-leaky. This alternative splicing-based gene regulation system was shown to successfully control Bs2/AvrBs2-dependent and RPP1/ATR151-dependent HR in Nicotiana benthamiana and Nicotiana tabacum , respectively. It was also used to generate viable and healthy transgenic Arabidopsis thaliana plants that inducibly initiate HR. Beyond enabling studies on the ETI pathway, our regulatory strategy is generally applicable to reduce or eliminate undesired background expression of transgenes.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 73
    Publication Date: 2015-07-25
    Description: We have developed a method for assembling genetic pathways for expression in Saccharomyces cerevisiae . Our pathway assembly method, called VEGAS (Versatile genetic assembly system), exploits the native capacity of S. cerevisiae to perform homologous recombination and efficiently join sequences with terminal homology. In the VEGAS workflow, terminal homology between adjacent pathway genes and the assembly vector is encoded by ‘VEGAS adapter’ (VA) sequences, which are orthogonal in sequence with respect to the yeast genome. Prior to pathway assembly by VEGAS in S. cerevisiae , each gene is assigned an appropriate pair of VAs and assembled using a previously described technique called yeast Golden Gate (yGG). Here we describe the application of yGG specifically to building transcription units for VEGAS assembly as well as the VEGAS methodology. We demonstrate the assembly of four-, five- and six-gene pathways by VEGAS to generate S. cerevisiae cells synthesizing β-carotene and violacein. Moreover, we demonstrate the capacity of yGG coupled to VEGAS for combinatorial assembly.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 74
    Publication Date: 2015-07-25
    Description: It is a routine task in metabolic engineering to introduce multicomponent pathways into a heterologous host for production of metabolites. However, this process sometimes may take weeks to months due to the lack of standardized genetic tools. Here, we present a method for the design and construction of biological parts based on the native genes and regulatory elements in Saccharomyces cerevisiae . We have developed highly efficient protocols (termed YeastFab Assembly) to synthesize these genetic elements as standardized biological parts, which can be used to assemble transcriptional units in a single-tube reaction. In addition, standardized characterization assays are developed using reporter constructs to calibrate the function of promoters. Furthermore, the assembled transcription units can be either assayed individually or applied to construct multi-gene metabolic pathways, which targets a genomic locus or a receiving plasmid effectively, through a simple in vitro reaction. Finally, using β-carotene biosynthesis pathway as an example, we demonstrate that our method allows us not only to construct and test a metabolic pathway in several days, but also to optimize the production through combinatorial assembly of a pathway using hundreds of regulatory biological parts.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 75
    Publication Date: 2015-07-25
    Description: Targeted sequence enrichment enables better identification of genetic variation by providing increased sequencing coverage for genomic regions of interest. Here, we report the development of a new target enrichment technology that is highly differentiated from other approaches currently in use. Our method, MESA (Microfluidic droplet Enrichment for Sequence Analysis), isolates genomic DNA fragments in microfluidic droplets and performs TaqMan PCR reactions to identify droplets containing a desired target sequence. The TaqMan positive droplets are subsequently recovered via dielectrophoretic sorting, and the TaqMan amplicons are removed enzymatically prior to sequencing. We demonstrated the utility of this approach by generating an average 31.6-fold sequence enrichment across 250 kb of targeted genomic DNA from five unique genomic loci. Significantly, this enrichment enabled a more comprehensive identification of genetic polymorphisms within the targeted loci. MESA requires low amounts of input DNA, minimal prior locus sequence information and enriches the target region without PCR bias or artifacts. These features make it well suited for the study of genetic variation in a number of research and diagnostic applications.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 76
    Publication Date: 2014-03-13
    Description: To reveal the full potential of human pluripotent stem cells, new methods for rapid, site-specific genomic engineering are needed. Here, we describe a system for precise genetic modification of human embryonic stem cells (ESCs) and induced pluripotent stem cells (iPSCs). We identified a novel human locus, H11 , located in a safe, intergenic, transcriptionally active region of chromosome 22, as the recipient site, to provide robust, ubiquitous expression of inserted genes. Recipient cell lines were established by site-specific placement of a ‘landing pad’ cassette carrying attP sites for phiC31 and Bxb1 integrases at the H11 locus by spontaneous or TALEN-assisted homologous recombination. Dual integrase cassette exchange (DICE) mediated by phiC31 and Bxb1 integrases was used to insert genes of interest flanked by phiC31 and Bxb1 attB sites at the H11 locus, replacing the landing pad. This system provided complete control over content, direction and copy number of inserted genes, with a specificity of 100%. A series of genes, including mCherry and various combinations of the neural transcription factors LMX1a, FOXA2 and OTX2, were inserted in recipient cell lines derived from H9 ESC, as well as iPSC lines derived from a Parkinson’s disease patient and a normal sibling control. The DICE system offers rapid, efficient and precise gene insertion in ESC and iPSC and is particularly well suited for repeated modifications of the same locus.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 77
    Publication Date: 2014-03-13
    Description: Recombineering, which is the use of homologous recombination for DNA engineering in Escherichia coli , usually uses antibiotic selection to identify the intended recombinant. When combined in a second step with counterselection using a small molecule toxin, seamless products can be obtained. Here, we report the advantages of a genetic strategy using CcdB as the counterselectable agent. Expression of CcdB is toxic to E. coli in the absence of the CcdA antidote so counterselection is initiated by the removal of CcdA expression. CcdB counterselection is robust and does not require titrations or experiment-to-experiment optimization. Because counterselection strategies necessarily differ according to the copy number of the target, we describe two variations. For multi-copy targets, we use two E. coli hosts so that counterselection is exerted by the transformation step that is needed to separate the recombined and unrecombined plasmids. For single copy targets, we put the ccdA gene onto the temperature-sensitive pSC101 Red expression plasmid so that counterselection is exerted by the standard temperature shift to remove the expression plasmid. To reduce unwanted intramolecular recombination, we also combined CcdB counterselection with Redα omission. These options improve the use of counterselection in recombineering with BACs, plasmids and the E. coli chromosome.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 78
    Publication Date: 2014-02-28
    Description: DNA ‘assembly’ from ‘building blocks’ remains a cornerstone in synthetic biology, whether it be for gene synthesis (~1 kb), pathway engineering (~10 kb) or synthetic genomes (〉100 kb). Despite numerous advances in the techniques used for DNA assembly, verification of the assembly is still a necessity, which becomes cost-prohibitive and a logistical challenge with increasing scale. Here we describe for the first time a comprehensive, high-throughput solution for structural DNA assembly verification by restriction digest using exhaustive in silico enzyme screening, rolling circle amplification of plasmid DNA, capillary electrophoresis and automated digest pattern recognition. This low-cost and robust methodology has been successfully used to screen over 31 000 clones of DNA constructs at 〈$1 per sample.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 79
    Publication Date: 2014-02-28
    Description: Synthetic biology requires effective methods to assemble DNA parts into devices and to modify these devices once made. Here we demonstrate a convenient rapid procedure for DNA fragment assembly using site-specific recombination by C31 integrase. Using six orthogonal attP / attB recombination site pairs with different overlap sequences, we can assemble up to five DNA fragments in a defined order and insert them into a plasmid vector in a single recombination reaction. C31 integrase-mediated assembly is highly efficient, allowing production of large libraries suitable for combinatorial gene assembly strategies. The resultant assemblies contain arrays of DNA cassettes separated by recombination sites, which can be used to manipulate the assembly by further recombination. We illustrate the utility of these procedures to (i) assemble functional metabolic pathways containing three, four or five genes; (ii) optimize productivity of two model metabolic pathways by combinatorial assembly with randomization of gene order or ribosome binding site strength; and (iii) modify an assembled metabolic pathway by gene replacement or addition.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 80
    Publication Date: 2014-04-03
    Description: A conditional gene expression system that is fast-acting, is tunable and achieves single-gene specificity was recently developed for yeast. A gene placed directly downstream of a modified GAL1 promoter containing six Zif268 binding sequences (with single nucleotide spacing) was shown to be selectively inducible in the presence of β-estradiol, so long as cells express the artificial transcription factor, Z 3 EV (a fusion of the Zif268 DNA binding domain, the ligand binding domain of the human estrogen receptor and viral protein 16). We show the strength of Z 3 EV-responsive promoters can be modified using straightforward design principles. By moving Zif268 binding sites toward the transcription start site, expression output can be nearly doubled. Despite the reported requirement of estrogen receptor dimerization for hormone-dependent activation, a single binding site suffices for target gene activation. Target gene expression levels correlate with promoter binding site copy number and we engineer a set of inducible promoter chassis with different input–output characteristics. Finally, the coupling between inducer identity and gene activation is flexible: the ligand specificity of Z 3 EV can be re-programmed to respond to a non-hormone small molecule with only five amino acid substitutions in the human estrogen receptor domain, which may prove useful for industrial applications.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 81
    Publication Date: 2014-09-27
    Description: The precise control of gene expression is essential in basic biological research as well as in biotechnological applications. Most regulated systems available in yeast enable only the overexpression of the target gene, excluding the possibility of intermediate or weak expression. Moreover, these systems are frequently toxic or depend on growth conditions. We constructed a heterologous transcription factor that overcomes these limitations. Our system is a fusion of the bacterial LexA DNA-binding protein, the human estrogen receptor (ER) and an activation domain (AD). The activity of this chimera, called LexA-ER-AD, is tightly regulated by the hormone β-estradiol. The selection of the AD proved to be crucial to avoid toxic effects and to define the range of activity that can be precisely tuned with β-estradiol. As our system is based on a heterologous DNA-binding domain, induction in different metabolic contexts is possible. Additionally, by controlling the number of LexA-binding sites in the target promoter, one can scale the expression levels up or down. Overall, our LexA-ER-AD system is a valuable tool to precisely control gene expression in different experimental contexts without toxic side effects.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 82
    Publication Date: 2014-09-27
    Description: Inspired by the developments of synthetic biology and the need for improved genetic tools to exploit cyanobacteria for the production of renewable bioproducts, we developed a versatile platform for the construction of broad-host-range vector systems. This platform includes the following features: (i) an efficient assembly strategy in which modules released from 3 to 4 donor plasmids or produced by polymerase chain reaction are assembled by isothermal assembly guided by short GC-rich overlap sequences. (ii) A growing library of molecular devices categorized in three major groups: (a) replication and chromosomal integration; (b) antibiotic resistance; (c) functional modules. These modules can be assembled in different combinations to construct a variety of autonomously replicating plasmids and suicide plasmids for gene knockout and knockin. (iii) A web service, the CYANO-VECTOR assembly portal, which was built to organize the various modules, facilitate the in silico construction of plasmids, and encourage the use of this system. This work also resulted in the construction of an improved broad-host-range replicon derived from RSF1010, which replicates in several phylogenetically distinct strains including a new experimental model strain Synechocystis sp. WHSyn, and the characterization of nine antibiotic cassettes, four reporter genes, four promoters, and a ribozyme-based insulator in several diverse cyanobacterial strains.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 83
    Publication Date: 2014-11-28
    Description: Mammalian synthetic biology may provide novel therapeutic strategies, help decipher new paths for drug discovery and facilitate synthesis of valuable molecules. Yet, our capacity to genetically program cells is currently hampered by the lack of efficient approaches to streamline the design, construction and screening of synthetic gene networks. To address this problem, here we present a framework for modular and combinatorial assembly of functional (multi)gene expression vectors and their efficient and specific targeted integration into a well-defined chromosomal context in mammalian cells. We demonstrate the potential of this framework by assembling and integrating different functional mammalian regulatory networks including the largest gene circuit built and chromosomally integrated to date (6 transcription units, 27kb) encoding an inducible memory device. Using a library of 18 different circuits as a proof of concept, we also demonstrate that our method enables one-pot/single-flask chromosomal integration and screening of circuit libraries. This rapid and powerful prototyping platform is well suited for comparative studies of genetic regulatory elements, genes and multi-gene circuits as well as facile development of libraries of isogenic engineered cell lines.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 84
    Publication Date: 2014-04-15
    Description: RGB marking and DNA barcoding are two cutting-edge technologies in the field of clonal cell marking. To combine the virtues of both approaches, we equipped LeGO vectors encoding red, green or blue fluorescent proteins with complex DNA barcodes carrying color-specific signatures. For these vectors, we generated highly complex plasmid libraries that were used for the production of barcoded lentiviral vector particles. In proof-of-principle experiments, we used barcoded vectors for RGB marking of cell lines and primary murine hepatocytes. We applied single-cell polymerase chain reaction to decipher barcode signatures of individual RGB-marked cells expressing defined color hues. This enabled us to prove clonal identity of cells with one and the same RGB color. Also, we made use of barcoded vectors to investigate clonal development of leukemia induced by ectopic oncogene expression in murine hematopoietic cells. In conclusion, by combining RGB marking and DNA barcoding, we have established a novel technique for the unambiguous genetic marking of individual cells in the context of normal regeneration as well as malignant outgrowth. Moreover, the introduction of color-specific signatures in barcodes will facilitate studies on the impact of different variables (e.g. vector type, transgenes, culture conditions) in the context of competitive repopulation studies.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 85
    Publication Date: 2014-04-15
    Description: Insertional oncogene activation and aberrant splicing have proved to be major setbacks for retroviral stem cell gene therapy. Integrase-deficient human immunodeficiency virus-1-derived vectors provide a potentially safer approach, but their circular genomes are rapidly lost during cell division. Here we describe a novel lentiviral vector (LV) that incorporates human ß-interferon scaffold/matrix-associated region sequences to provide an origin of replication for long-term mitotic maintenance of the episomal LTR circles. The resulting ‘anchoring’ non-integrating lentiviral vector (aniLV) achieved initial transduction rates comparable with integrating vector followed by progressive establishment of long-term episomal expression in a subset of cells. Analysis of aniLV-transduced single cell-derived clones maintained without selective pressure for 〉100 rounds of cell division showed sustained transgene expression from episomes and provided molecular evidence for long-term episome maintenance. To evaluate aniLV performance in primary cells, we transduced lineage-depleted murine hematopoietic progenitor cells, observing GFP expression in clonogenic progenitor colonies and peripheral blood leukocyte chimerism following transplantation into conditioned hosts. In aggregate, our studies suggest that scaffold/matrix-associated region elements can serve as molecular anchors for non-integrating lentivector episomes, providing sustained gene expression through successive rounds of cell division and progenitor differentiation in vitro and in vivo .
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 86
    Publication Date: 2014-11-12
    Description: Assembly of DNA ‘parts’ to create larger constructs is an essential enabling technique for bioengineering and synthetic biology. Here we describe a simple method, PaperClip, which allows flexible assembly of multiple DNA parts from currently existing libraries cloned in any vector. No restriction enzymes, mutagenesis of internal restriction sites, or reamplification to add end homology are required. Order of assembly is directed by double stranded oligonucleotides—‘Clips’. Clips are formed by ligation of pairs of oligonucleotides corresponding to the ends of each part. PaperClip assembly can be performed by polymerase chain reaction or by cell extract-mediated recombination. Once multi-use Clips have been prepared, assembly of at least six DNA parts in any order can be accomplished with high efficiency within several hours.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 87
    Publication Date: 2014-08-15
    Description: Synthetic biology has significantly advanced the design of mammalian trigger-inducible transgene-control devices that are able to programme complex cellular behaviour. Fruit-based benzoate derivatives licensed as food additives, such as flavours (e.g. vanillate) and preservatives (e.g. benzoate), are a particularly attractive class of trigger compounds for orthogonal mammalian transgene control devices because of their innocuousness, physiological compatibility and simple oral administration. Capitalizing on the genetic componentry of the soil bacterium Comamonas testosteroni , which has evolved to catabolize a variety of aromatic compounds, we have designed different mammalian gene expression systems that could be induced and repressed by the food additives benzoate and vanillate. When implanting designer cells engineered for gene switch-driven expression of the human placental secreted alkaline phosphatase (SEAP) into mice, blood SEAP levels of treated animals directly correlated with a benzoate-enriched drinking programme. Additionally, the benzoate-/vanillate-responsive device was compatible with other transgene control systems and could be assembled into higher-order control networks providing expression dynamics reminiscent of a lap-timing stopwatch. Designer gene switches using licensed food additives as trigger compounds to achieve antagonistic dual-input expression profiles and provide novel control topologies and regulation dynamics may advance future gene- and cell-based therapies.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 88
    Publication Date: 2013-09-26
    Description: In reverse genetics, a gene’s function is elucidated through targeted modifications in the coding region or associated DNA cis -regulatory elements. To this purpose, recently developed customizable transcription activator-like effector nucleases (TALENs) have proven an invaluable tool, allowing introduction of double-strand breaks at predetermined sites in the genome. Here we describe a practical and efficient method for the targeted genome engineering in Drosophila . We demonstrate TALEN-mediated targeted gene integration and efficient identification of mutant flies using a traceable marker phenotype. Furthermore, we developed an easy TALEN assembly (easyT) method relying on simultaneous reactions of DNA Bae I digestion and ligation, enabling construction of complete TALENs from a monomer unit library in a single day. Taken together, our strategy with easyT and TALEN-plasmid microinjection simplifies mutant generation and enables isolation of desired mutant fly lines in the F 1 generation.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 89
    Publication Date: 2013-06-08
    Description: Transcription activator-like effector nucleases (TALENs) are a powerful new approach for targeted gene disruption in various animal models, but little is known about their activities in Mus musculus, the widely used mammalian model organism. Here, we report that direct injection of in vitro transcribed messenger RNA of TALEN pairs into mouse zygotes induced somatic mutations, which were stably passed to the next generation through germ-line transmission. With one TALEN pair constructed for each of 10 target genes, mutant F0 mice for each gene were obtained with the mutation rate ranged from 13 to 67% and an average of ~40% of total healthy newborns with no significant differences between C57BL/6 and FVB/N genetic background. One TALEN pair with single mismatch to their intended target sequence in each side failed to yield any mutation. Furthermore, highly efficient germ-line transmission was obtained, as all the F0 founders tested transmitted the mutations to F1 mice. In addition, we also observed that one bi-allele mutant founder of Lepr gene, encoding Leptin receptor, had similar diabetic phenotype as db/db mouse. Together, our results suggest that TALENs are an effective genetic tool for rapid gene disruption with high efficiency and heritability in mouse with distinct genetic background.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 90
    Publication Date: 2013-06-08
    Description: We describe a new cell-free protein synthesis (CFPS) method for site-specific incorporation of non-natural amino acids (nnAAs) into proteins in which the orthogonal tRNA (o-tRNA) and the modified protein (i.e. the protein containing the nnAA) are produced simultaneously. Using this method, 0.9–1.7 mg/ml of modified soluble super-folder green fluorescent protein (sfGFP) containing either p -azido- l -phenylalanine (pAzF) or p -propargyloxy- l -phenylalanine (pPaF) accumulated in the CFPS solutions; these yields correspond to 50–88% suppression efficiency. The o-tRNA can be transcribed either from a linearized plasmid or from a crude PCR product. Comparison of two different o-tRNAs suggests that the new platform is not limited by Ef-Tu recognition of the acylated o-tRNA at sufficiently high o-tRNA template concentrations. Analysis of nnAA incorporation across 12 different sites in sfGFP suggests that modified protein yields and suppression efficiencies (i.e. the position effect) do not correlate with any of the reported trends. Sites that were ineffectively suppressed with the original o-tRNA were better suppressed with an optimized o-tRNA (o-tRNA opt ) that was evolved to be better recognized by Ef-Tu. This new platform can also be used to screen scissile ribozymes for improved catalysis.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 91
    Publication Date: 2013-12-07
    Description: The two-step process of selection and counter-selection is a standard way to enable genetic modification and engineering of bacterial genomes using homologous recombination methods. The tetA and sacB genes are contained in a DNA cassette and confer a novel dual counter-selection system. Expression of tetA confers bacterial resistance to tetracycline (Tc R ) and also causes sensitivity to the lipophillic chelator fusaric acid; sacB causes sensitivity to sucrose. These two genes are introduced as a joint DNA cassette into Escherichia coli by selection for Tc R . A medium containing both fusaric acid and sucrose has been developed, in which, coexpression of tetA-sacB is orders of magnitude more sensitive as a counter-selection agent than either gene alone. In conjunction with the homologous recombination methods of recombineering and P1 transduction, this powerful system has been used to select changes in the bacterial genome that cannot be directly detected by other counter-selection systems.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 92
    Publication Date: 2013-09-06
    Description: We developed a framework for quick and reliable construction of complex gene circuits for genetically engineering mammalian cells. Our hierarchical framework is based on a novel nucleotide addressing system for defining the position of each part in an overall circuit. With this framework, we demonstrate construction of synthetic gene circuits of up to 64 kb in size comprising 11 transcription units and 33 basic parts. We show robust gene expression control of multiple transcription units by small molecule inducers in human cells with transient transfection and stable chromosomal integration of these circuits. This framework enables development of complex gene circuits for engineering mammalian cells with unprecedented speed, reliability and scalability and should have broad applicability in a variety of areas including mammalian cell fermentation, cell fate reprogramming and cell-based assays.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 93
    Publication Date: 2013-07-16
    Description: Synthetic biology has significantly advanced the design of synthetic control devices, gene circuits and networks that can reprogram mammalian cells in a trigger-inducible manner. Prokaryotic helix-turn-helix motifs have become the standard resource to design synthetic mammalian transcription factors that tune chimeric promoters in a small molecule-responsive manner. We have identified a family of Actinomycetes transcriptional repressor proteins showing a tandem TetR-family signature and have used a synthetic biology-inspired approach to reveal the potential control dynamics of these bi-partite regulators. Daisy-chain assembly of well-characterized prokaryotic repressor proteins such as TetR, ScbR, TtgR or VanR and fusion to either the Herpes simplex transactivation domain VP16 or the Krueppel-associated box domain (KRAB) of the human kox-1 gene resulted in synthetic bi- and even tri-partite mammalian transcription factors that could reversibly program their individual chimeric or hybrid promoters for trigger-adjustable transgene expression using tetracycline (TET), -butyrolactones, phloretin and vanillic acid. Detailed characterization of the bi-partite ScbR-TetR-VP16 (ST-TA) transcription factor revealed independent control of TET- and -butyrolactone-responsive promoters at high and double-pole double-throw (DPDT) relay switch qualities at low intracellular concentrations. Similar to electromagnetically operated mechanical DPDT relay switches that control two electric circuits by a fully isolated low-power signal, TET programs ST-TA to progressively switch from TetR-specific promoter-driven expression of transgene one to ScbR-specific promoter-driven transcription of transgene two while ST-TA flips back to exclusive transgene 1 expression in the absence of the trigger antibiotic. We suggest that natural repressors and activators with tandem TetR-family signatures may also provide independent as well as DPDT-mediated control of two sets of transgenes in bacteria, and that their synthetic transcription-factor analogs may enable the design of compact therapeutic gene circuits for gene and cell-based therapies.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 94
    Publication Date: 2013-01-20
    Description: Synthetic RNA control devices that use ribozymes as gene-regulatory components have been applied to controlling cellular behaviors in response to environmental signals. Quantitative measurement of the in vitro cleavage rate constants associated with ribozyme-based devices is essential for advancing the molecular design and optimization of this class of gene-regulatory devices. One of the key challenges encountered in ribozyme characterization is the efficient generation of full-length RNA from in vitro transcription reactions, where conditions generally lead to significant ribozyme cleavage. Current methods for generating full-length ribozyme-encoding RNA rely on a trans-blocking strategy, which requires a laborious gel separation and extraction step. Here, we develop a simple two-step gel-free process including cis-blocking and trans-activation steps to support scalable generation of functional full-length ribozyme-encoding RNA. We demonstrate our strategy on various types of natural ribozymes and synthetic ribozyme devices, and the cleavage rate constants obtained for the RNA generated from our strategy are comparable with those generated through traditional methods. We further develop a rapid, label-free ribozyme cleavage assay based on surface plasmon resonance, which allows continuous, real-time monitoring of ribozyme cleavage. The surface plasmon resonance-based characterization assay will complement the versatile cis-blocking and trans-activation strategy to broadly advance our ability to characterize and engineer ribozyme-based devices.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 95
    Publication Date: 2013-08-28
    Description: The ability to artificially control transcription is essential both to the study of gene function and to the construction of synthetic gene networks with desired properties. Cas9 is an RNA-guided double-stranded DNA nuclease that participates in the CRISPR-Cas immune defense against prokaryotic viruses. We describe the use of a Cas9 nuclease mutant that retains DNA-binding activity and can be engineered as a programmable transcription repressor by preventing the binding of the RNA polymerase (RNAP) to promoter sequences or as a transcription terminator by blocking the running RNAP. In addition, a fusion between the omega subunit of the RNAP and a Cas9 nuclease mutant directed to bind upstream promoter regions can achieve programmable transcription activation. The simple and efficient modulation of gene expression achieved by this technology is a useful asset for the study of gene networks and for the development of synthetic biology and biotechnological applications.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 96
    Publication Date: 2013-08-28
    Description: Antisense RNA transcription attenuators are a key component of the synthetic biology toolbox, with their ability to serve as building blocks for both signal integration logic circuits and transcriptional cascades. However, a central challenge to building more sophisticated RNA genetic circuitry is creating larger families of orthogonal attenuators that function independently of each other. Here, we overcome this challenge by developing a modular strategy to create chimeric fusions between the engineered transcriptional attenuator from plasmid pT181 and natural antisense RNA translational regulators. Using in vivo gene expression assays in Escherichia coli , we demonstrate our ability to create chimeric attenuators by fusing sequences from five different translational regulators. Mutagenesis of these functional attenuators allowed us to create a total of 11 new chimeric attenutaors. A comprehensive orthogonality test of these culminated in a 7 x 7 matrix of mutually orthogonal regulators. A comparison between all chimeras tested led to design principles that will facilitate further engineering of orthogonal RNA transcription regulators, and may help elucidate general principles of non-coding RNA regulation. We anticipate that our strategy will accelerate the development of even larger families of orthogonal RNA transcription regulators, and thus create breakthroughs in our ability to construct increasingly sophisticated RNA genetic circuitry.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 97
    Publication Date: 2013-04-23
    Description: Studying complex biological processes such as cancer development, stem cell induction and transdifferentiation requires the modulation of multiple genes or pathways at one time in a single cell. Herein, we describe straightforward methods for rapid and efficient assembly of bacterial marker free multigene cassettes containing up to six complementary DNAs/short hairpin RNAs. We have termed this method RecWay assembly, as it makes use of both Cre recombinase and the commercially available Gateway cloning system. Further, because RecWay assembly uses truly modular components, it allows for the generation of randomly assembled multigene vector libraries. These multigene vectors are integratable, and later excisable, using the highly efficient piggyBac ( PB ) DNA transposon system. Moreover, we have dramatically improved the expression of stably integrated multigene vectors by incorporation of insulator elements to prevent promoter interference seen with multigene vectors. We demonstrate that insulated multigene PB transposons can stably integrate and faithfully express up to five fluorescent proteins and the puromycin-thymidine kinase resistance gene in vitro , with up to 70-fold higher gene expression compared with analogous uninsulated vectors . RecWay assembly of multigene transposon vectors allows for widely applicable modelling of highly complex biological processes and can be easily performed by other research laboratories.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 98
    Publication Date: 2013-04-23
    Description: Techniques for assembly of designed DNA sequences are important for synthetic biology. So far, a few methods have been developed towards high-throughput seamless DNA assembly in vitro , including both the homologous sequences-based system and the type IIS-mediated system. Here, we describe a novel method designated ‘MASTER Ligation’, by which multiple DNA sequences can be seamlessly assembled through a simple and sequence-independent hierarchical procedure. The key restriction endonuclease used, MspJI, shares both type IIM and type IIS properties; thus, it only recognizes the methylation-specific 4-bp sites, m CNNR (R = A or G), and cuts DNA outside of the recognition sequences. This method was tested via successful assembly of either multiple polymerase chain reaction amplicons or restriction fragments of the actinorhodin biosynthetic cluster of Streptomyces coelicolor (~29 kb), which was further heterologously expressed in a fast-growing and moderately thermophilic strain, Streptomyces sp. 4F.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 99
    Publication Date: 2013-02-20
    Description: Bacterial operons are nature’s tool for regulating and coordinating multi-gene expression in prokaryotes. They are also a gene architecture commonly used in the biosynthesis of many pharmaceutically important compounds and industrially useful chemicals. Despite being an important eukaryotic production host, Saccharomyces cerevisiae has never had such gene architecture. Here, we report the development of a system to assemble and regulate a multi-gene pathway in S. cerevisiae . Full pathways can be constructed using pre-made parts from a plasmid toolbox. Subsequently, through the use of a yeast strain containing a stably integrated gene switch, the assembled pathway can be regulated using a readily available and inexpensive compound—estradiol—with extremely high sensitivity (10 nM). To demonstrate the use of the system, we assembled the five-gene zeaxanthin biosynthetic pathway in a single step and showed the ligand-dependent coordinated expression of all five genes as well as the tightly regulated production of zeaxanthin. Compared with a previously reported constitutive zeaxanthin pathway, our inducible pathway was shown to have 50-fold higher production level.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
  • 100
    Publication Date: 2013-02-20
    Description: Zinc-finger nucleases (ZFNs) have been used for genome engineering in a wide variety of organisms; however, it remains challenging to design effective ZFNs for many genomic sequences using publicly available zinc-finger modules. This limitation is in part because of potential finger–finger incompatibility generated on assembly of modules into zinc-finger arrays (ZFAs). Herein, we describe the validation of a new set of two-finger modules that can be used for building ZFAs via conventional assembly methods or a new strategy—finger stitching—that increases the diversity of genomic sequences targetable by ZFNs. Instead of assembling ZFAs based on units of the zinc-finger structural domain, our finger stitching method uses units that span the finger–finger interface to ensure compatibility of neighbouring recognition helices. We tested this approach by generating and characterizing eight ZFAs, and we found their DNA-binding specificities reflected the specificities of the component modules used in their construction. Four pairs of ZFNs incorporating these ZFAs generated targeted lesions in vivo , demonstrating that stitching yields ZFAs with robust recognition properties.
    Keywords: Synthetic Biology and Assembly Cloning
    Print ISSN: 0305-1048
    Electronic ISSN: 1362-4962
    Topics: Biology
    Location Call Number Expected Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. More information can be found here...